Vivado VIO (virtual input output)虚拟IO使用

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们

一般情况下ILA和VIO都是用在chipscope上使用,VIO可以作为在chipscope时模拟IO。

譬如:
在使用chipscope时需要使用按键出发,但是没有设计按键或者板子不再身边,所以需要模拟按键输入还有其他信号的输出。

参数配置,配置输入探针数量和输出探针数量。分别可以设置0-256个。

probe in ports参数配置,配置数据探针位宽

probe out port:参数配置输出探针的数据位宽,及初始化数据(in hex)

在hw_vio界面加入配置的输入及输出探针,并且对应的参数可以设置.
1、模拟的按键IO,可以设置成active_high buttom.
2、对于输出参数,可以设置成text

对于里面设置的值,就是触发后信号保持的状态数据

在hw_ILA界面,将ila的信号探针数据加入Trigger setup和waveform中。只要在

number of windows:指采样窗口个数。


window data depth:采样深度,要考虑能完整采样一帧数据以上,图上采样深度是128K = 131072 / 1024


trigger position in window:窗口出发位置就是指红色那根T线。设置一个参数为的是能正常等待出发。如果设置这个值为0,那么就不需要任何触发按键或者触发源来触发。所以需要设定一个值

按启动运行按钮,让ila处于waiting for trigger模式,等待出发,然后再hw_vios上触发模拟复位按钮,

就会出发对应的信号。

代码实例化如图所示。
ILA_wrapper ILA(
.clk ( ),
.probe0 ( ),
.probe1 ( ),
.probe_out0 ( ),
.probe_out1 ( ),
.probe_in0 ( )
);


本文转载自:https://blog.csdn.net/github_33678609/article/details/53689231,如涉及版权,请私信小编删除。

============华 丽 的 分 割 线============

想加入我们FPGA学习交流群吗?可以长按或扫描以下二维码,审核通过后我们邀请您加入

这些微信群旨在打造一个提供给FPGA工程开发人员及兴趣爱好者(统称“FPGAer”)进行技术交流、答疑解惑和学习的平台。而且我们也将会通过网络举办FPGA技术讲座,分享相关研究文献 



了解更多FPGA知识可以长按或扫描以下二维码关注FPGAer俱乐部



评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值