【FPGA教程案例63】硬件开发板调试3——vio虚拟IO核的应用

FPGA教程目录

MATLAB教程目录

--------------------------------------------------------------------------------------------------------------------------------

目录

1.软件版本

2.VIO核概述

3.vio测试和使用

3.1生成vio核 

3.2 在verilog中调用vio

3.3 硬件调试vio


1.软件版本

vivado2019.2

2.VIO核概述

       在我们进行In system debug时需要使用按键触发查看相关信号,但不想用板子上的按键,或者板子上没有物理按键时,可以借助VIO核。
       VIO:Virtual input output,即虚拟IO。主要用作虚拟IO使用;VIO的输出可以控制模块的输入,VIO的输入可以显示模块的输出值。
      VIO连接如下图所示:

  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

fpga和matlab

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值