自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(9)
  • 收藏
  • 关注

原创 # ** Error: (vsim-3033) F:/QuartusProject/ceshi/simulation/modelsim/key_led_vlg_tst.v(17): Instantia

1、在工程完整的情况下(在这里我拿“按键控制led”这个小设计为例进行说明,这个设计包括key_filter和led_ctrl两个小模块),也就说我把key_filter(按键消抖)和led_ctrl(led控制)的代码都实现以后,分别生成他们的原理图(这个操作步骤我在这里不再赘述),然后新建一个原理图文件,在这个原理图文件里将这两个模块用信号线连接(包括输入输出以及模块之间的信号流动)。那我前边也说过,由于modelsim无法识别原理图,所以我们解决这个问题的关键就是将这个原理图转换成.v文件就可以了。

2024-04-02 09:52:33 353 1

原创 创建FIFO并实现仿真时的注意事项

接下来的FIFO配置就按照步骤操作就好了,把自己所需要的配置完成最终输出fifo_inst_v文件就可以了。步骤:file—Verilog HDL File,然后将写的测试文件代码输入页面之后保存(位置自选,名字自取)。接下来就是将写好的测试文件添加进去就行了(这个步骤我就不再赘述了,大家可以去别的博主那里参考一下)。先声明一下,这篇内容并不是创建FIFO并仿真的详细过程,而是我在创建和仿真过程中出现的一些问题,我把它写下来希望能让大家在操作过程中避免踩到这些坑。一、建立一个新工程,命名为fifo。

2024-03-29 15:09:02 302 1

原创 Modelsim仿真时的各种问题

也就是说,如果你指定的仿真工具是Modelsim_Altera,那么你就要指定Modelsim_Altera的安装路径(由于我电脑安装的是Modelsim_Altera,这里就不对Modelsim-se的情况进行说明了,大家可以去别的博主那里取取经)。解决:这个问题和前两个问题解决办法其实大差不差,基本就是因为你选的仿真软件和你设定的仿真软件的位置不一致,按照上变两个问题的描述将需要保持一致的地方设定好基本就可以解决,这里就不再赘述。

2024-03-29 10:55:05 1487 2

原创 求助:使用multisim搭建积分电路时遇到的问题

图3是一个转换电路中的积分电路部分,可以看到这个电路的输入源是一个直流电流源,它的输出波形是下图中的蓝色线部分(其中有上升有下降是因为当积分电路部分的输出电压超过比较器设置的阈值后,会给积分部分提供一个与输入电流相反的电流量,使积分电路部分的电容放电重新回到阈值内,然后积分电路的电容又会重新充电,超过阈值后又会重复上述操作)。可以看到在图1这个电路中,我放了两个输入源,S1所连的输入源是阶跃电流源(配置是:初始电平0A,最终电平3mA),S2所连的是直流电流源(配置是:电流3mA)。

2024-03-09 18:53:17 566 1

原创 在安装Multisim时遇到提示:No software will be installed or removed.我们该如何解决?

解决Multisim的安装问题

2024-03-05 15:17:04 1801 2

原创 学习记录4:关于verilog除法运算中的疑惑

在今天的学习过程中,我遇到了一个比较困惑的地方,我设置的参数MCNT=50000000,而在下边计算时我需要计算出37500000,于是我写的计算公式是(MCNT/(3/4))-1,也试过(MCNT/0.75)-1,当时的预想是这样写就可以计算出37500000,但是没想到仿真出来的结果不对,最后看了教程,老师给出的计算公式是(MCNT/2+MCNT/4)-1,最终仿真结果是正确的,我在想是不是因为我的计算公式不符合verilog语言中的运算语法,导致得不出正确的结果,我也去查询了资料,但是没有得到veri

2023-08-18 17:26:44 173 1

原创 学习记录3:复习

我不理解,程序一点没变,不知道为什么在旧的工程里就总是得不到正确的仿真结果,这也让我想起来最开始学的时候遇到的问题:仿真的时候出现蓝线,当时的解决办法是关掉当前的工程,重新打开跑仿真,然后蓝线消失了,并且结果正确。但是这一次的问题我依然试了这个方法并没有得到解决,可能vivado这个软件自带的仿真工具不太稳定吧,不过也给我之后学习过程中遇到问题提供了一个解决思路,以上就是今天的收获。

2023-08-16 17:28:36 35 1

原创 学习记录2:五选一多路器的实现

前几天学完二选一多路器以后,萌生了自己写一个五选一多路器的程序,也算是对学习成果的一个检验,程序不难 ,但是里边的逻辑还是得弄清楚,我发现自己在verilog语法上还是有很大的问题,所以在写五选一多路器的时候语法出现了挺多的错误的,还是通过不断搜索别人的解释才慢慢把程序写出来,直到最终仿真成功,所以后边在学习的过程中,还得加强verilog语法的学习,才能在写程序的时候更顺畅。

2023-08-14 11:25:48 119

原创 问题一:vidado仿真时出现蓝色线

FPGA小白,初学者,在此记录自己的FPGA学习历程

2023-08-08 17:01:34 1334

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除