问题一:vidado仿真时出现蓝色线

       刚开始学习FPGA,在使用vivado仿真时出现了蓝色线,和教程里出现的仿真结果不一样,刚开始怀疑自己的程序可能有错误,检查了一遍程序发现没问题,于是在网上找解决办法,没有什么收获,后来试着什么都不变,重新仿真一次,结果居然好了,也不知道为什么会出现这种情况,但是也算是一种收获。

       看网上有人说出现蓝线是因为蓝线代表高阻态,说明输入的控制条件不对,输出不了,所以是高阻态。但是由于刚接触,还不能完全理解这里的意思,得继续加油!共勉!

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值