基于VHDL的经典双进程状态机(含test beach)源码

library ieee;
use ieee.std_logic_1164.all;

ENTITY fsm IS
    PORT(clock,x : IN BIT; z : OUT BIT);
END fsm;
-------------------------------------------------
ARCHITECTURE behaviour OF fsm IS

TYPE state_type IS (s0,s1,s2,s3);
SIGNAL present_state,next_state : state_type;

BEGIN
--state register process
state_reg:PROCESS
          BEGIN
            WAIT UNTIL clock'EVENT AND clock = '1';
            present_state <= next_state;
          END PROCESS;
--combinational logic feedback process
 fb_logic:PROCESS(present_state,x)
          BEGIN
            CASE present_state IS
                WHEN s0 =>
                IF x = '0' THEN z <= '0'; next_state <= s0;
                ELSE z <= '1'; next_state <= s2;
                END IF;

                WHEN s1 =>
                IF x = '0' THEN z <= '0'; next_state <= s0;
                ELSE z <= '0'; next_state <= s2;
                END IF;

                WHEN s2 =>
                IF x = '0' THEN z <= '1'; next_state <= s2;
                ELSE z <= '0'; next_state <= s3;
                END IF;

                WHEN s3 =>
                IF x = '0' THEN z <= '0'; next_state <= s3;
                ELSE z <= '1'; next_state <= s1;
                END IF;
            END CASE;
          END PROCESS;
END behaviour;
-----------------------------------------------------------

--STIMULUS GENERATOR FOR FSM

ENTITY fsm_stim IS
    PORT (clock,x: OUT BIT; z: IN BIT);
END fsm_stim;

ARCHITECTURE behavioural OF fsm_stim IS
BEGIN

--clock pulses : __--__--__--__--__--__
--x input      : _____------------_____
--each '-' represents 5 ns.

    clock <= '0' AFTER 0 ns,
             '1' AFTER 10 ns, --clock 1
             '0' AFTER 20 ns,
             '1' AFTER 30 ns, --clock 2
             '0' AFTER 40 ns,
             '1' AFTER 50 ns, --clock 3
             '0' AFTER 60 ns,
             '1' AFTER 70 ns, --clock 4
             '0' AFTER 80 ns,
             '1' AFTER 90 ns, --clock 5
             '0' AFTER 100 ns;

    x <= '0' AFTER 0 ns,
         '1' AFTER 25 ns,
         '0' AFTER 85 ns;

END behavioural;

-----------------------------------------------

ENTITY fsm_bench IS
END fsm_bench;

ARCHITECTURE structural OF fsm_bench IS
    COMPONENT fsm_stim PORT (clock,x: OUT BIT; z: IN BIT); END COMPONENT;
    COMPONENT fsm PORT (clock,x: IN BIT; z: OUT BIT); END COMPONENT;
    SIGNAL clock,x,z: BIT;
BEGIN
    generator:fsm_stim PORT MAP(clock,x,z);
    circuit:fsm PORT MAP(clock,x,z);
END structural;
 

  • 5
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

GJZGRB

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值