SDF文件【简要说明】

SDF (Standard Delay Format),标准延时格式文件,常用延迟反标注。该文件包含了仿真用到的所有 IOPATH,INTERCONNECT的延时。

线延时:

(INTERCONNECT fsm_block/U27/Q fsm_block/U41/C  (0.002::0.002) (0.002::0.002))

表示 fsm_block/U27/Q端口到fsm_block/U41/C端口的连线延时最小是0.002,最大是0.002。典型值在sdf中没有说明。因此在Modelsim里反标sdf延时时,要指定-sdfmin或者sdfmax。如果制定-sdftyp的话,由于sdf文件里并没有关于延时典型值的说明,则Modelsim将不会将延时反标到标准单元上面,而是将库文件里specify指定的延时反标上去,如下代码块里的 0.02。

module AN22HDLLX1 (A, B, C, D, Q);

   input     A, B, C, D;
   output    Q;

   wire      n_0, n_1;

// Function Q: !((A*B)+(C*D))
   and       i0  (n_0, A, B);
   and       i1  (n_1, C, D);
   nor       i2  (Q, n_0, n_1);

// timing section:
   specify

      (A -=> Q) = (0.02, 0.02);
         if ((B == 1'b1 && C == 1'b1)) (A -=> Q) = (0.02, 0.02);
      (B -=> Q) = (0.02, 0.02);
         if ((A == 1'b1 && C == 1'b1)) (B -=> Q) = (0.02, 0.02);
      (C -=> Q) = (0.02, 0.02);
         if ((A == 1'b0 && B == 1'b1)) (C -=> Q) = (0.02, 0.02);
         if ((A == 1'b1 && B == 1'b0)) (C -=> Q) = (0.02, 0.02);
      (D -=> Q) = (0.02, 0.02);
         if ((A == 1'b0 && B == 1'b1)) (D -=> Q) = (0.02, 0.02);
         if ((A == 1'b1 && B == 1'b0)) (D -=> Q) = (0.02, 0.02);

   endspecify
endmodule

单元延时:

  (CELL
    (CELLTYPE  "AN31HDLLX1")
    (INSTANCE  reg_map/CB_Logic_i1/U16)
      (DELAY
	(ABSOLUTE
	(IOPATH A Q  (0.262::0.262) (0.160::0.160))
	(IOPATH B Q  (0.271::0.271) (0.167::0.167))
	(IOPATH C Q  (0.233::0.233) (0.156::0.156))
	(IOPATH D Q  (0.169::0.169) (0.097::0.097))
	(COND (A==1'b0&&B==1'b0&&C==1'b1) (IOPATH D Q  (0.169::0.169) (0.097::0.097)))
	(COND (A==1'b0&&B==1'b1&&C==1'b1) (IOPATH D Q  (0.169::0.169) (0.097::0.097)))
	(COND (A==1'b1&&B==1'b0&&C==1'b1) (IOPATH D Q  (0.169::0.169) (0.097::0.097)))
	(COND (A==1'b1&&B==1'b1&&C==1'b0) (IOPATH D Q  (0.169::0.169) (0.097::0.097)))
	)
      )
  )

(IOPATH A Q (0.262::0.262) (0.160::0.160))表示,上升沿最小延时是0.262,最大值也是0.262,典型值没有定义;下降沿最小延时是0.160,最大值也是0.160,典型值没有定义;

条件延时:
(COND (A1’b0&&B1’b0&&C==1’b1) (IOPATH D Q (0.169::0.169) (0.097::0.097)))表示 A为0并且B为1并且C为1的时候,上升延迟为0.169-0.169, 下降延迟为0.097-0.097。

Modelsim如何反标sdf【入门简单教程】

  • 11
    点赞
  • 73
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
SDF文件(Standard Delay Format)是一种描述芯片设计中时序信息的文件格式。SDF文件对于分析芯片的延迟和时序特性非常重要。理解和解读SDF文件对于确保芯片设计的正确性和时序性能至关重要。 要理解SDF文件中的interconnect(互联)部分,我们需要关注以下几个方面: 1. 时序信息:SDF文件中的interconnect部分描述了不同器件之间的互连延迟和时序关系。它描述了信号从一个器件传输到另一个器件所需的延迟,包括传播延迟、输入延迟和输出延迟等等。通过分析interconnect部分,我们可以了解信号在芯片内部的传输路径和时序约束。 2. 信号路径:interconnect部分描述了芯片内各个器件之间的信号路径。它指定了信号从源器件到目标器件的具体路径和连接关系。在SDF文件中,这些路径以及相关的传输延迟会被定义和描述。 3. 时序约束:在interconnect部分中,时序约束包括在信号传输过程中需要满足的时序要求。这些约束可以包括最大传输延迟、时钟频率等等。通过理解和分析这些时序约束,我们可以确保芯片在不同条件下能够满足时序要求。 为了更好地理解和解读SDF文件中的interconnect部分,我们可以通过以下步骤进行操作: 1. 阅读文档:首先,我们需要详细阅读SDF文件的相关文档,了解interconnect部分的结构和格式。 2. 分析器件和信号路径:我们需要分析SDF文件中的器件和信号路径,了解芯片内部的互连结构。这包括信号从源器件到目标器件的路径和相应的传输延迟。 3. 理解时序约束:我们需要理解SDF文件中描述的时序约束,包括信号的传输延迟和时序要求。这些约束对于芯片的时序性能非常重要。 4. 与设计规范对比:最后,我们可以将SDF文件中的interconnect部分与设计规范进行对比,确保时序约束是否符合设计要求。 总结起来,要理解和解读SDF文件中的interconnect部分,我们需要详细阅读文档,分析器件和信号路径,理解时序约束,并与设计规范进行对比。这样可以帮助我们更好地理解芯片设计的互连结构和时序特性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值