Vivado ERROR: [Synth 8-3380] loop condition does not converge after 2000 iterations循环无法综合问题,仿真

仿真问题:可能是设置了断点在退出时没有删除。

解决方法:在\XX\XX.sim\sim_1\behav\xsim\xsim.dir\XX_behav里面找到TempBreakPointFile.txt,删除第一行以后的内容。

综合问题:Currently Vivado Synthesis does not support a loop limit that is determined by a dynamic variable.

This feature will be supported in a future release.

Vivado不支持动态调节的循环,算一下最大循环次数,把while(condition)改成:
repeat(32)begin
if(condition)…
end

另外浮点数加法必须一位一位舍入,不能偷懒截取一部分!

另外乘法结果不会溢出,但是过程会溢出。比如11*11。

  • 2
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值