Verilog报错原因及解决方案

仿真注意点

1.interger赋值必须在always块之外

2.对变量赋值必须在always,initial之中。

序号报错解决方案
1Port connections cannot be mixed ordered and named使用实例的时候.()的.没有加
2module instantiation should have an instance name匿名调用组件,应该在实例后增加组件名,比如counter4b m0
3Instantiating < XXX > from unknown module < XXX >moudle内代码的名字和文件名不一致,如果改了还是不行,可以将报错的组件remove再add回来
4rocedural assignment to a non-register cnt is not permitted, left-hand side should be reg/integer/time/genvaralways中使用非reg,或者是为输出赋值。
5XXX is not a constantif中不能有assign,必须改用always
6ERROR:HDLCompiler:661 Non-net port cannot be of mode input输入不能是reg
  • 6
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值