自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(100)
  • 资源 (18)
  • 收藏
  • 关注

原创 初识makefile

原因:执行 ./hello 后返回了值 12 作为退出状态码,这通常意味着程序出错了。通常用文件名作为目标,并且会检查这个文件是否存在,以决定是否需要重新构建目标。当目标名称不是一个实际文件,而是一个动作的名字时,我们就应该使用。是一个特殊的目标,用来声明其他的make目标是“伪目标”。伪目标用于删除所有由此Makefile生成的文件,恢复到一个干净的状态。,即使在当前目录下存在与伪目标同名的文件,也应总是执行这个伪目标的命令。的使用是一个好习惯,它确保了即使某个目标与实际文件同名,在Makefile中,

2024-05-18 23:48:42 127

原创 bash关闭按tab两次才显示关闭按tab显示隐藏文件

关闭按tab显示隐藏文件。关闭按tab两次才显示。

2024-05-18 00:58:37 198

原创 linux 个人用户设置默认shell为tcsh

如果你在尝试更改shell时遇到任何困难,请咨询系统管理员或者更详细的帮助。文件:如果你有足够的权限,可以直接编辑这个文件来更改默认的shell。笔者的shell在进入是就是bash,使用了一种常规方法如下。,你需要更改用户帐户的登录shell设置。在UNIX或Linux系统中,要将默认的shell更改为。(Change Shell)命令:这是最常用的方法。系统可能会要求你输入密码以确认更改。更改同样将在下一次登录时生效。请注意,在某些系统上,直接编辑。更改将在下一次登录时生效。如果已安装,这将显示。

2024-05-13 15:48:59 230

原创 tcl脚本获取指定目录下的某个文件

此外,一些操作系统或具体的文件系统可能会对模式匹配的结果影响,例如大小写敏感或不敏感。命令用于对文件名进行模式匹配。它返回与特定模式相匹配的文件和目录名列表。在Tcl(Tool Command Language)中,命令非常类似于大多数Unix shell中的文件匹配。时,需要根据运行环境的具体情况来遵循相关规则。等命令时,就需要手动使用。

2024-04-30 10:10:24 121

原创 innovus checkDesign

【代码】innovus checkDesign。

2024-01-17 18:19:46 976

原创 Calibre DESIGNrev Object Selection Toolbar

包括 Reference Path Polygon Edge Vertex Text的解释说明。

2024-01-14 19:09:33 469

原创 LVS 内置器件

以下是calibre lvs中内置器件的名称。

2024-01-14 14:51:21 445

原创 hls::LineBuffer 源码解析

以下是LineBuffer的类定义,本质上是一个二维数组

2024-01-03 16:25:02 481

原创 linux 将所有相同类型的文件移动到指定目录

找到目录中所有的.v文件并将他们提取到指定目录。如需选择其他文件修改"*.v" 即可。

2023-12-29 10:05:20 430

原创 Vim中取消高亮显示的文本

请注意,以上方法适用于取消搜索结果的高亮显示。如果你遇到其他类型的高亮显示,例如语法高亮或搜索匹配项之外的其他高亮显示,取消高亮的方法可能会有所不同。针对特定类型的高亮显示,你可能需要查看相关的 Vim 插件或配置选项来了解如何取消该类型的高亮显示。永久取消高亮:如果你希望永久取消 Vim 中的搜索高亮显示,可以将以下命令添加到你的 Vim 配置文件中(通常是。保存配置文件后,重新启动 Vim 或者重新加载配置文件,搜索结果的高亮显示将不再出现。希望这些方法可以帮助你取消 Vim 中的高亮显示。

2023-12-18 11:31:06 1132

原创 vivado sdk mem超出

问题的产生:fpga使用了microblaze搭建了一个soc系统,系统包含了axi uart , 在使用sdk生成了一个hello world 工程后 想着使用xil_printf,却提示超过容量

2023-12-07 20:37:24 607

原创 xterm:Cannot use ‘tcsh‘ as shell: No such file or directory

xterm:Cannot use 'tcsh' as shell: No such file or directory。

2023-10-20 18:56:17 82

原创 Sim/circuit10

通过观察可知,在a、b同时为0或1时,state的值改变。state的值可以改变q的输出,1为ab的同或,0为异或。利用assign q=进行输出。

2023-08-21 16:09:55 308

原创 Vivado 差分输出引脚配置

完成对Pos Diff引脚的绑定后,Neg Diff默认被绑定在相邻位置

2023-07-25 10:06:29 1714

原创 LTC6268-10 4GHz 超低偏置电流 FET 输入运算放大器

LTC6268-10是一个运算放大器具有低输入偏置电流和低输入电容。它还具有低输入参考电流噪声和电压噪声。这使得它成为高速跨组放大器和高阻抗传感器放大电路的理想选择。其为去补偿型运放,具有数值为 10 的稳定增益。该器件工作在 3.1V 至 5.25V 电源,每个放大器消耗 16.5mA。LTC6268-10 单通道运放采用 8 引脚 SOIC 封装和 6 引脚 SOT-23 封装。SOIC封装包括两个引脚,这两个引脚可用于形成一个输入引脚保护环以提供针对电路板漏电流的保护。

2023-04-17 11:19:19 1000 1

原创 数字系统控制器

数据处理器的所有微操作都是由控制器启动的,产生微操作控制序列信号的控制器是一个时序电路,它的各种状态(指内部状态)表示系统的各个控制功能

2022-10-24 12:41:23 397

原创 QN8027 IIC 模拟接口C语言

IIC模拟IO实现QN8027接口代码C语言实现

2022-07-24 15:15:23 678

原创 SD卡读写及FATFS(R0.14版本)文件系统测试总结

SD卡学习路径

2022-07-17 20:21:11 1678

原创 半导体物理-固体晶格结构

1.1 半导体材料半导体是导电性能介于金属和绝缘体之间的一种材料。半导体基本上可分为两类:位于元素周期表Ⅳ族的元素半导体材料和化合物半导体材料。大部分化合物半导体材料是族和V族元素化合形成的。双元素化合物半导体,比如GaAs或GaP,是由Ⅲ族和V族元素化合而成的。GaAs是其中应用最广泛的一种化合物半导体。它良好的光学性能使其在光学器件中广泛应用,同时也应用在需要高速器件的特殊场合。我们也可以制造三元素化合物半导体,例如AlxGa1−xAsAl_xGa_{1-x}AsAlx​Ga1−x​As,

2022-06-06 21:32:21 1668

原创 HAL库 定义typedef

由于生成的工程不能很好适用移植的文件,在main.h中添加如下代码再调用即可typedef int32_t s32;typedef int16_t s16;typedef int8_t s8;typedef const int32_t sc32; typedef const int16_t sc16; typedef const int8_t sc8; typedef __IO int32_t vs32;typedef __IO int16_t vs16;typedef

2022-05-20 17:55:01 263

原创 modelsim 仿真脚本

脚本的流程和gui的顺序差不多相同sim.tcl.main clearif [file exists work] { vdel -all}vlib workvmap work workset path ..vlog $path/*.vvsim -t 1ns -novopt work.mul_topadd wave $path/mul_top/*radix -unsignedrun 500usvsim -do sim.tcl...

2022-05-18 12:34:00 397

原创 MSI001 FM解调IQ信号测试

VHF频段(64 MHz - 108 MHz)用于接收广播调频信号,并提供了一个扩展的输入范围以支持日本和东欧的调频接收。LNA的天线接口可以是平衡的,也可以是不平衡的。LNA有一个75Ω的输入电阻

2022-05-04 23:03:07 3668 1

原创 SPI Core 寄存器简介

该采用Wishbone总线接口接口寄存器

2022-04-22 19:15:17 409

原创 opencore I2C寄存器简介

本文默认读者了解I2C通信时序图I2C接口定义了3种传输速率:—Normal: 100Kbps—Fast: 400Kbps—High speed: 3.5MbpsPrescale 预分频时钟输入为 clk =32MHz期望SCL = 100Kpre = 32/(5*100) KHz -1 = 63 = 0x3F复位值 0xFFFFControl 控制寄存器 CTR位7 置1使能I2C6 置1使能中断5:0 Reserved只有当’ EN ‘位被设置时,核心才会响应新命令。

2022-04-19 21:45:19 1395

原创 MSI001寄存器配置表

MSI共七个寄存器目录Register 0Register 1Register 2Register 3Register 5Register 6Register 00x0434200100 0011 0100 0010 0000低功耗模式选择正常。晶振选择24M。带宽选择200K。选择0中频模式。RF频综使能。VHF模式Register 10x00c0A11100 0000 1010 0001DC校准模式选择periodic3 。LNA衰减不使能。IQmix

2022-04-07 22:12:59 1454

原创 QN8027关键寄存器速查

QN8027是一款高性能、低功耗、功能齐全的单芯片立体声调频发射机QN8027以50/100/200 kHz的步长覆盖从76 MHz到108 MHz的频率

2022-04-04 19:20:35 991

原创 ARM CortexM0 SysTick定时器详解

参考资料《ARM Cortex-M0权威指南》SysTick为24位的定时器,并且向下计数。定时器的计数减至0后,就会重新装载一个可编程的数值,并且同时产生SysTick异常(异常编号为15)只要不把它在 SysTick控制及状态寄存器中的使能位清除,就永不停息。SysTick由四个寄存器控制校准寄存器在cmsdk_mcu_stclkctrl.v中即CM0内核中有如下定义再来看看顶层可以看到输入的时钟为FCLK自由运行时钟SKEW 没有用到 这与MCU的设计有关本次设计我们将系统时

2022-03-19 23:06:46 1861 1

原创 TD ADC ip 测试

TD ADC测试通道引脚0N111M102L103P114M125N126P127R16本次仅测试CH1通道(因为找不到0的管脚)使用ChipWatcherTD的和Quartus的差不了太多是波形显示,只能按进制显示将ad的引脚接到3.3V电压上我们可以观察到dout的

2022-03-16 21:41:49 990 2

原创 MDK Flash算法配置(1)

Flash烧录算法定义了擦除和烧写闪存设备的函数在选择Flash时我们可以看到如下的设备选择了器件后如(ST)后还能看到片内flash那么如何去编写一个新的flash算法设置的步骤大致分为4点1.修改FlashPrg文件中的编程算法。2.修改FlashDev文件中的设备参数。3.使用Build 生成新的Flash编程算法。4.将输出文件(例如MyDevice.FLM)添加到DFP中。以下为初始配置打开MDK/ARM/Flash找到Template文件夹复制到另外的空间并添加Flas

2022-03-15 20:53:29 635

原创 Python hex转mif文件脚本

修改文件中指定字符串# 按间距中的绿色按钮以运行脚本。if __name__ == '__main__': f = open(r"C:\Users\t.mif", "r+") f2 = open(r"C:\Users\image.hex", "r") data = f.readlines() f = open(r"C:\Users\98782\Desktop\t.mif", "w+") for i in data: if i.find(': 00

2022-03-11 16:14:35 1323

原创 ARM Cortex-M0系统简介

处理器模式包括Thread mode模式用于执行应用软件。当复位结束时,处理器进入线程模式。Handler mode异常处理模式。处理器在完成所有异常处理后返回到Thread模式。Stack处理器使用完整的降序堆栈。这意味着堆栈指针指示堆栈内存中最后一个堆叠项。当处理器将一个新项压入堆栈时,它会减少堆栈指针,然后将该项写入新的内存位置。处理器实现了两个栈,主栈和进程栈,它们有独立的栈指针副本Core registersARM 处理器核心寄存器摘要Memory model介绍Cortex-

2022-02-25 15:16:22 4142

翻译 APB timer

APB定时器cmsdk_apb_timer.v是一个32位的下行计数器,具有以下特性:当计数器达到0时,你可以生成一个中断请求信号TIMERINT。中断请求被保持,直到它被写入INTCLEAR寄存器。你可以使用外部输入信号的0到1转换,EXTIN,作为定时器启用如果APB定时器计数达到0,同时软件清除之前的一个中断状态,则中断状态设置为1外部时钟EXTIN必须慢于外部时钟的一半,因为它由双触发器采样,然后在外部输入作为时钟时通过边缘检测逻辑。参见4-6页的程序员模型。一个单独的时钟引脚,PCLK

2022-02-25 11:44:20 2579 1

原创 Python map

class map(object): """ map(func, *iterables) --> map object Make an iterator that computes the function using arguments from each of the iterables. Stops when the shortest iterable is exhausted. """ def __getattribute__(sel

2022-02-22 13:09:36 58

原创 Python 打家劫舍

每日一练打家劫舍你是一个专业的小偷,计划偷窃沿街的房屋。每间房内都藏有一定的现金,影响你偷窃的唯一制约因素就是相邻的房屋装有相互连通的防盗系统,如果两间相邻的房屋在同一晚上被小偷闯入,系统会自动报警。给定一个代表每个房屋存放金额的非负整数数组,计算你 不触动警报装置的情况下 ,一夜之内能够偷窃到的最高金额。示例 1:输入:[1,2,3,1]输出:4解释:偷窃 1 号房屋 (金额 = 1) ,然后偷窃 3 号房屋 (金额 = 3)。偷窃到的最高金额 = 1 + 3 = 4 。示例 2:输入

2022-02-21 14:29:51 162

原创 Python 多数元素

【题目】给定一个大小为 n 的数组,找到其中的多数元素。多数元素是指在数组中出现次数大于 ⌊ n/2 ⌋ 的元素。你可以假设数组是非空的,并且给定的数组总是存在多数元素。【示例 1】输入: [3,2,3]输出: 3【示例 2】输入: [2,2,1,1,1,2,2]输出: 2时间复杂度O(n),空间复杂度O(1)def majorityElement(nums): count, candi = 0, 0 for i in nums: if i == cand

2022-02-20 20:47:36 1071 1

原创 Python next(iterator, default=None)

def next(iterator, default=None): # real signature unknown; restored from __doc__ """ next(iterator[, default]) Return the next item from the iterator. If default is given and the iterator is exhausted, it is returned instead of raisin

2022-02-19 23:17:00 624

转载 pytorch手写数字集MNIST

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。本文链接:https://blog.csdn.net/weixin_44613063/article/details/90815082weixin_44613063/article/details/90815082import torchimport torch.nn as nnimport torch.nn.functional as Fimport torch.optim as optim

2021-12-22 20:00:24 249

转载 Cox的值计算

2021-12-10 09:21:10 1509

原创 DL正态分布

X∼N(μ,σ2)X\sim N(\mu,\sigma^2)X∼N(μ,σ2)Y=X−μσ∼N(0,1)Y = \frac{X-\mu}{\sigma}\sim N(0,1)Y=σX−μ​∼N(0,1)f(x)=12πσexp⁡(−(x−μ)22σ2)f(x)=\frac{1}{\sqrt{ 2\pi }\sigma} \exp (-\frac{(x-\mu)^2}{2\sigma^2})f(x)=2π​σ1​exp(−2σ2(x−μ)2​)μ\muμ期望σ\sigmaσ标准差...

2021-12-06 10:02:32 113

原创 Instantiation of ‘scfifo‘ failed

vsim -L altera_mf_ver work.xxxxxx顶层文件

2021-12-03 07:54:19 263

vivado hls 的html版说明书

vivado hls 的html版说明书

2024-01-08

hal库dht11温湿度传感器+oled显示

hal库dht11温湿度传感器+oled显示 介绍文档http://t.csdnimg.cn/s85WG

2022-05-25

FPGA(主机) SPI传输 STM32 (从机)(HAL库实现)

FPGA(主机) SPI传输 ADC数据 到 STM32 (从机)(HAL库实现) 包含 STM32 FPGA代码 说明博客:https://blog.csdn.net/Harry_CHL/article/details/119940708

2022-05-05

MSI001寄存器配置小工具接收FM的信号事实上只需修改Reg2 的相关内容

配置MSI001接收 FM寄存器

2022-04-16

VNC Viewer apk

vnc app apk文件,点击即可安装

2022-04-11

C++ VSCODE文件

C++ VSCODE文件

2022-03-01

2021年电赛 E题 数字传输

https://blog.csdn.net/Harry_CHL/article/details/121373793

2021-11-17

TM1637数码管显示 HAL库

1积分

2021-11-15

AWR交指滤波器实验工程文件

滤波器

2021-11-13

AWR功放实验工程文件

https://blog.csdn.net/Harry_CHL/article/details/120704050

2021-11-13

AWR版图实验工程文件

https://blog.csdn.net/Harry_CHL/article/details/120704050

2021-11-13

AWR高通滤波器实验工程文件

https://blog.csdn.net/Harry_CHL/article/details/120704050

2021-11-13

FPGA SPI (主机) 代码实现

FPGA spi 参考的,0积分 移植到了quartus上 pol=1,pha=1

2021-08-29

HAL库配置 ADF4351 pll锁相环, 简介代码,可控制频率

HAL库配置 ADF4351 pll锁相环, 简介代码,可控制频率 https://blog.csdn.net/Harry_CHL/article/details/119336674

2021-08-03

HAL库 实现的DDS AD9854模块 实现输出正弦波,扫频的功能 电赛使用

HAL库 实现的DDS AD9854模块 https://blog.csdn.net/Harry_CHL/article/details/119151323

2021-08-02

HAL库配置AD9910 DDS模块 F407

该模块的工程文件,输出正弦波 https://blog.csdn.net/Harry_CHL/article/details/119294833 只添加了该模块的引脚,简介明了

2021-08-01

HAL库配置的程控滤波器 MAX262

HAL库配置,工程文件,PA1输出PWM给该模块的时钟输入 https://blog.csdn.net/Harry_CHL/article/details/119294442

2021-08-01

HAL库配置AD9220.zip

12位并行输入,包含.c .h 文件 说明文件,数据手册

2021-07-27

jasmin实现的小游戏--五子棋

java汇编 jasmin汇编器

2021-06-27

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除