Vivado 差分输出引脚配置

diff_out输出如下所示
在这里插入图片描述
在引脚配置时,如下图所示,只能设置一个Pos Diff的引脚,此时设置完Pos Diff的引脚后,Neg Diff默认被绑定在相邻的引脚
在这里插入图片描述
我们可以打开上图中Package Pins页面,发现这一对引脚设置完毕
在这里插入图片描述

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Vivado中,对分时钟进行约束的步骤如下: 1. 创建一个约束文件(XDC文件)用于存储约束信息。可以通过以下命令在Vivado Tcl控制台中创建一个约束文件: ``` create_file -file <file_path>.xdc ``` 2. 打开约束文件,并添加分时钟的约束信息。以下是一个示例的分时钟约束的语法: ``` set_property PACKAGE_PIN <pin_name> [get_ports <port_name>] set_property IOSTANDARD <standard_name> [get_ports <port_name>] set_property DIFF_TERM TRUE [get_ports <port_name>] set_property SLEW FAST [get_ports -filter {REF_PIN_NAME==<pin_name>}] set_property IN_TERM GTHE3_CHANNEL [get_ports -filter {REF_PIN_NAME==<pin_name>}] ``` 其中,`<pin_name>`是分时钟的物理名称,`<port_name>`是与相关联的逻辑信号名称,`<standard_name>`是所使用的分时钟的IO标准(例如,DIFF_SSTL15、DIFF_HSTL等)。 上述示例中的最后两行设置了的驱动强度和输入终端类型。根据具体需求,可能需要根据芯片规格手册或设计要求进行调整。 3. 将约束文件添加到Vivado工程中。可以通过以下命令将约束文件添加到工程中: ``` add_files <file_path>.xdc ``` 4. 运行综合和实现操作,以便在设计中应用约束。 请注意,具体的分时钟约束细节可能会因芯片和设计要求而有所不同。建议查阅芯片手册和Vivado用户指南,以获取关于分时钟约束的详细信息和正确的语法。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

花椒且喵酱

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值