verilog读取文件的预处理问题

verilog modelsim仿真中,使用fscanf仿真,读取数据文件,方式如下:


fid_r = $fopen("_bench/real_input.txt","r");

fid_i = $fopen("_bench/imag_input.txt","r");

for (ii = 0;ii < 1024; ii = ii + 1) begin
        $fscanf(fid_r,"%d",real_i);
        $fscanf(fid_i,"%d",imag_i);
        @(posedge clk_i);
end

$fclose(fid_r);

$fclose(fid_i);



其中:

读取文件,路径分隔符,必须使用/ ”或者“ \\ ”,使用“ \ ”是错误的,无法读取数据,与windows系统下的路径是相反的。


同时,注意数据文件的路径,tb_magnitude.fdo,为当前路径,其他路径查看相对关系,在文件路径体现出来。

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值