VScode配置Verilog预定义代码块

本文介绍了如何在VScode中配置Verilog的预定义代码块,通过编辑Verilog.json文件并利用小程序批量处理,避免手动输入大量引号的繁琐工作。
摘要由CSDN通过智能技术生成

在这里插入图片描述
选择Verilog,打开Verilog.json
在里面粘上:

"shixu template": {
		"prefix": "Shixu",
		"body": [
			"always@(posedge clk or negedge rst_n)begin",
			"if(rst_n==1'b0)begin",
			"\t\t${1};",
			"\telse begin",
			"\t\t${2};",
			"\tend",
			"end"
		]
	},
	"zuhe template": {
		"prefix": "Zuhe",
		"body": [
			"always@(*)begin",
			"${1};",
			"end"
		]
	},
	"module template": {
		"prefix": "Module",
		"body": [
			"module ${1:module_name}(",
			"\tclk ,",
			"\trst_n,",
			"\t${2:dout}",
			");",
			"",
			"//参数定义",
			"parameter DATA_W = ${3:word_len};",
			"",
			"//输入信号定义",
  • 2
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值