按键消抖verilog设计

按键消抖verilog设计

按键消抖,就是对按键按下、释放过程中的抖动进行滤除,输出稳定的信号。使用状态机来设计按键消抖模块,定义计数器,当稳定时间到达该值时,默认信号输入信号稳定,其接口如下:
输出按键信号和标志信号。flag=1表示按键按下处于稳定,flag=0表示按键释放
定义四个状态:空闲、按下(抖动)、稳定、释放(抖动)。
在这里插入图片描述
对输入按键的上升和下降沿进行采集,用于控制状态机跳转
在这里插入图片描述
仿真结果:
在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值