HDLbits Generate for-loop Adder100i

Verilog 使用generate for-loop实例化100个1位全加器实现100位加法器

问题描述

Create a 100-bit binary ripple-carry adder by instantiating 100 full adders. The adder adds two 100-bit numbers and a carry-in to produce a 100-bit sum and carry out. To encourage you to actually instantiate full adders, also output the carry-out from each full adder in the ripple-carry adder. cout[99] is the final carry-out from the last full adder, and is the carry-out you usually see.
通过实例化 100 个一位全加器来创建一个 100 位二进制rippe-carry加法器,这个加法器将两个 100 位二进制数和一个进位数据cin相加,生成 100 位的总和与加和的进位输出cout。为了鼓励您实际实例化全加器,还要在该加法器中输出每个全加器的进位输出cout。cout[99]是最后一个全加器的进位输出,也是您通常看到的cout。
题目链接:Adder100i.

设计思路

参考链接: 全加器.
一位全加器的真值表如下图,其中Ai为被加数,Bi为加数,相邻低位来的进位数为Ci-1,输出本位和为Si。向相邻高位进位数为Ci
一位全加器真值表
应用数字电路真值表/绘制卡诺图的知识可以得到一位全加器的表达式如下:
一位全加器的表达式如下:
Si=Ai⊕Bi⊕Ci-1
在这里插入图片描述
使用verilog设计1位全加器,模块包括3个输入:a,b,cin,包括2个输出:sum,cout。

// A 1-bit full adder
module full_adder(
    input a,
    input b,
    input cin,
    output cout,
    output sum
);
    assign sum = a ^ b ^ cin;
    assign cout = cin&(a^b) | (a&b);  
    
endmodule

在完成一位全加器的设计后,我们在顶层模块中需要多次实例化1位全加器,在这个应用中例化次数为100次。

module top_module( 
    input [99:0] a, b,
    input cin,
    output [99:0] cout,
    output [99:0] sum );

    genvar i;
    generate 
        full_adder(.a(a[0]), .b(b[0]), .cin(cin), .sum(sum[0]), .cout(cout[0]));
        for (i=1; i<100; i++)
        begin:full_adder_inst
            full_adder(.a(a[i]), .b(b[i]), .cin(cout[i-1]), .sum(sum[i]), .cout(cout[i]));
        end
    endgenerate
    
endmodule

说明:
在generate生成语句中,首先例化一次1位全加器,主要考虑到的是末尾位的加法的进位输入cin来自top模块的cin,所以没有写进for循环中。

Reference

关于generate生成语句的使用参考以下链接:

[1] Verilog中关于for与generate for用法和区别的一点愚见.
[2] Verilog 中如何无误使用 generate for?.

  • 3
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
设计一个 N-bit 加法器(Carry-Ripple Adder)需要使用 N 全加器来实现每个全加器有三个输入(两个加数和进和两个输出(与进)。下面一个 N-bit 加法的 Verilog 实现以相应的 testbench: ```ver module CarryRippleAdder ( input [N-1:0] A, B, input Cin, output [N-1:0] Sum, output Cout ); wire [N-1:0] C; wire [N-2:0] G; // Generate Carry genvar i; generate for (i = 0; i < N-1; i=i+1) begin : GEN_G assign G[i] = A[i] & B[i]; end for (i = 0; i < N; i=i+1) begin : GEN_C if (i == 0) begin assign C[i] = A[i] ^ B[i] ^ Cin; end else begin assign C[i] = G[i-1] | (A[i] & B[i]) | (C[i-1] & (A[i] ^ B[i])); end end endgenerate // Generate Sum assign Sum = A + B + Cin; // Generate Cout assign Cout = C[N-1]; endmodule module CarryRippleAdder_tb; parameter N = 4; // 设置加法器数 reg [N-1:0] A, B; reg Cin; wire [N-1:0] Sum; wire Cout; CarryRippleAdder dut ( .A(A), .B(B), .Cin(Cin), .Sum(Sum), .Cout(Cout) ); initial begin $monitor("A = %b, B = %b, Cin = %b, Sum = %b, Cout = %b", A, B, Cin, Sum, Cout); // 测试用例 1 A = 4'b0010; B = 4'b0011; Cin = 1'b0; #10; // 测试用例 2 A = 4'b1101; B = 4'b0110; Cin = 1'b1; #10; // 测试用例 3 A = 4'b1111; B = 4'b0001; Cin = 1'b0; #10; // 添加更多测试用例... $finish; end endmodule ``` 请注意,上述代码中的 N 可以根据需要进行调整以实现不同数的加法器。testbench 中设置了几个测试用例,你可以根据需要添加更多测试用例。运行仿真时,你将在控制台上看到输入和输出信号的值。 希望这个回答能对你有所帮助!如有更多问题,请随时提问。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值