使用vivado2016.4编写一个流水灯项目(上)

本文是使用Vivado 2016.4进行硬件开发的流水灯项目实践记录。作者详细介绍了项目要求、工作环境以及项目流程,包括创建Vivado项目、添加源文件、编写Verilog代码和进行模拟仿真的步骤。项目要求使用三个LED灯实现每秒闪烁一次的流水效果,并设有按键使能控制。文章以初学者的视角展开,适合学习FPGA和Vivado的读者。
摘要由CSDN通过智能技术生成

实验室新进了一块板子,正好我也需要学习硬件开发,于是先做了个小项目练练手,顺便纪念一下。

1.项目要求

1.流水灯有八个状态,使用开发板上的三个LED灯来完成相应状态的闪烁;
2.闪烁间隔为每秒闪烁一次;
3.有使能按键,即按下按键时灯开始闪烁,松开即灭;

2.工作环境

1.编辑器:Vivado 2016.4,使用Verilog进行编写
2.开发板:DIGILENT Genesys2

3.项目流程

1.打开Vivado,建立项目

1.首先,单击桌面上的图标,进入Vivado开发环境
Alt
2.点击creat new project,出现create a new vivado project对话框,点击next来创建一个新的工程
Alt
在这里插入图片描述
3.在 new project对话框中输入自定义的项目名,以及项目路径,我们的项目为流水灯,项目名定义为led_s在这里插入图片描述
点击next,进入project type界面,此处我们选择RTL项目,即RTL级设计,可以自己添加源程序,仿真程序,约束程序。之后点击next
在这里插入图片描述
4.因为我们的开发板的芯片型号为XC7K325T-FFG900-2,在输入框中搜索此芯片,出现两个符合的,此处我选用了第二种(应该没什么区别)。点击next
在这里插入图片描述
5.最后出现确认框,点击finish,即可完成项目的创建。
在这里插入图片描述

2.源文件的添加

1.点击界面左侧Project Manager下的Add Sources,即可添加源文件
在这里插入图片描述
之后会让用户选择添加哪种文件的对话框:
在这里插入图片描述
constrains:添加约束文件;design sources:添加设计文件;simulation sources:添加仿真文件;
(后面的暂时没用到,以后了解了再说说吧。)
2.此时出现添加文件的界面,点击creat file创建文件,并将主程序文件名命名成与项目名相同的led_s,点击OK
在这里插入图片描述
3.出现文件列表界面,确认无误之后点击finish
在这里插入图片描述
之后点击OK,再点击YES完成默认设置,即可创建完毕
在这里插入图片描述

3.编写源文件

1.点击design source中的源文件led_s.v,即可进行源文件的编写,界面如下
在这里插入图片描述
2.语法什么的就不细说了,直接贴代码吧。
首先是主程序led_s.v:用的二段状态机,写的略微冗长一点,但是比较容易看懂。

// An 8-states flow led
//led_s.v
`timescale 1ns / 1ps
module led_shine(
    input clk_in1_n,clk_in1_p,
    input rst_n,
    (* DONT_TOUCH= "{TRUE}" *) output reg [2:
  • 6
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 9
    评论
评论 9
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值