vivado:流水灯

本文介绍了如何利用Vivado工具和Verilog编程语言设计一个FPGA流水灯项目。通过详细步骤,从创建工程、编写Verilog代码到仿真验证,深入理解FPGA工作原理和物联网设备中常见的流水灯应用。
摘要由CSDN通过智能技术生成
`timescale 1ns / 1ps
//
// Create Date: 2020/07/30 17:08:48
// Module Name: run_led
// Revision 0.01 - File Created
// Additional Comments:
//高电平灯亮
//16个灯,约束文件按照开发板分配
//vivado 2018.2
//
module run_led(
input clk,//系统时钟100MHz
input rst,//复位
output reg[<
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值