verilog | 七、常用关键字

-过程连续赋值:

过程赋值:将值赋给寄存器,之一直保存在寄存器中,直到另一个过程赋值将另外一个值存放在该寄存器中;

过程连续赋值:允许在有限时间段内将表达式的值连续地加到寄存器或线网。左边只能是寄存器或寄存器组。

-assign和deassign:

-force和release:可改写(覆盖)寄存器、线网上的赋值。

或者

-defparam在任意模块调用中改变参数值。

或者

-条件编译和执行:某部分代码只有设置了特定的表之后才能被编译。编译指令(`ifdef, `ifndef, `else, `endif)

-条件编译:

-条件执行:

-时间尺度:

-常用系统任务:

-文件输出:

打开文件:

写文件:

关闭文件:

-显示层次:

-选通显示:

-随机数生成:

-用数据文件对存储器进行初始化:

-值变转储文件(VCD):

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值