uvm_pkg——原始真解

本文详细解读了uvm_pkg中的关键组件,如uvm_macros(宏定义)、uvm_dpi(SV与外部语言接口)、uvm_base(基础类库)等,展示了UVM体系在SV中的重要角色,以及它如何支持组件通信、序列化和寄存器模型等功能。
摘要由CSDN通过智能技术生成

uvm_pkg:uvm修炼体系的原始真解

在sv中引入了package(包),将各种数据类型封装在一个命名空间中,可以在多个module、interface、program中共享内部的参数、类型、方法等,需要通过域操作符::进行引用。
uvm_pkg中通过·include插入了多个pkg,其中包括:uvm_macros定义了uvm的所有宏,uvm_dpi实现了sv和外部语言交互,uvm_base定义了包括工厂机制、phase机制等基础class,uvm_dap提供了一种数据访问策略,uvm_tlm和uvm_tlm2用于组件通信,uvm_comps定义了所有component,uvm_seq定义了sequence机制,uvm_reg_model定义了寄存器模型。

`include "uvm_macros.svh"
package uvm_pkg;
 
  `include "dpi/uvm_dpi.svh"
  `include "base/uvm_base.svh"
  `include "dap/uvm_dap.svh"
  `include "tlm1/uvm_tlm.svh"
  `include "comps/uvm_comps.svh"
  `include "seq/uvm_seq.svh"
  `include "tlm2/uvm_tlm2.svh"
  `include "reg/uvm_reg_model.svh"
 
endpackage
  • 4
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值