如何修改仿真log中打印的时间单位

本文介绍了如何在SystemVerilog中修改仿真log中时间的打印单位,以提高调试便利性。通过使用内建函数,可以自定义时间单位、小数位数、后缀字符串和最小字段宽度。该设置在交互模式下对后续模块和delay操作有效,并可通过在testbench顶层进行配置。
摘要由CSDN通过智能技术生成

问题由来

  查看仿真log时,发现打印信息中显示的时间是以fs为单位的,但是我想修改为以ns为单位,这样利于我debug

解决办法

  使用SystemVerilog中的内建函数$timeformate(-9,3,"ns",20);

引申

$timeformate详解:

  • 格式:
    $timeformate(units_number , precision_number , suffix_string , minimum_field_width )
    • units_number:时间的单位值,可选范围[0,-15],默认值为所有$timescale中最小的精度值
      Units_number 时间单位 Units_number 时间单位
      0 1s -8 10ns
      -1 100ms -9 1ns
      -2 10ms -10 100fs
      -3 1ms -11 10fs
      -4 100us -12 1fs
      -5 10us -13 100ps
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值