浅谈芯片验证中的仿真运行之 timescale (三)小兵大将

本文探讨了SystemVerilog中timescale的关键字应用,特别是在芯片验证中的作用。通过一个实例分析了timescale如何影响代码中时间间隔的计算,并强调了timescale设置对于编译时间和仿真精度的影响。同时,文章指出timescale不能改变编译单元域的仿真时间单位,但能指定当前编译位置的单位和精度。
摘要由CSDN通过智能技术生成

PITFALL(陷阱)

前两小节,我们简略的讲述了timescale 这个关键字,大家觉得怎么样?是不是还算简单。可不要骄傲哦。来道题试试看!

问:如下代码,请大家分析,line4 和 line6 两行打印显示的时间 interval 是多久?<__ns/ps >

答案是: 1000000ps

解析:其实很简单,这里只涉及timescale 的用法。我们知道,VCS 在编译.v 和 .sv 文件的时候会看当前有没有`timescale 设置仿真时间单位和仿真精度。如果有,按照当前单位和精度,编译接下来的文件。如果遇到新的``timescale 设置,那么按照新的设置选项去编译文件。如果没有遇到则看有没有经过 -timescale 编译选项,有,按照该编译选项的设置编译该文件。如果均没有发现,肯定会报错的。扯远了,回顾一下~

这里,同样,首先按照1ps/1ps 去编译:

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值