数电实验18:数码管扫描显示

本文详细介绍了使用FPGA进行数码管扫描显示的实验过程,包括代码编写、测试文件的配置以及管脚连接的具体设置,为FPGA开发人员提供实践指导。
摘要由CSDN通过智能技术生成

代码段

module Top(
	   input ST,		
      input CLR,		
      input clk_25M,		
      output [3:0] AN,
      output [7:0] SEG
    );
    
	reg[15:0] Data;
	reg[3:0] Data_Show; 
	wire[1:0] BIT_SEL;
	reg Increment;
	integer clk_num=0;
	
	delay_2ms uu1(clk_25M,BIT_SEL);

initial begin Data <= 16'b0; Increment = 1'b0;end

	always@(posedge clk_25M)begin	
		if(clk_num<5000000)	begin
			clk_num = clk_num+1;
			Increment = 1'b0;
		end
		else begin
			clk_num = 0;
			Increment = 1'b1;
		end
	end
								
	always@(posedge CLR  or posedge Increment)
	if(CLR) begin
		Data <= 16'b0000000000000000;
	end		
	else if(ST) begin
		if(Data[3:0]==4'b1001) begin
			Data[3:0]<=4'b0000;
			if(Data[7:4]==4'b1001) begin
				Data[7:4]<=4'b0000;
				if(Data[11:8]==4'b1001)begin
					Data[11:8]<=4'b0000;
					if(Data[15:12]==4'b1001)
						Data
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值