基于VHDL的QuartusII和Modelsim联合仿真

前期正常建立Quartus工程这里不再赘述,主要讲在Quartus中调用Modelsim的设置过程。网上QuartusII和Modelsim联合仿真教程大多都是用的Verilog,这里整理的是基于VHDL的仿真,过程貌似差不多。
第一次联合仿真时需要设置ModelSim的安装路径。点击Tools–Options,在下图中填写ModelSim的安装路径。

1.编写待测工程程序,并通过编译
2.生成testbench模板。在生成模板前必须先编译第一步中的待测文件,否则会产生错误
点击Processing–Start–Start Test Bench Template Writer,若成功则生成一个testbench文件模板(文件后缀.vht),文件保存路径在信息窗口中给出。也可以自己直接编写testbench文件。

在这里插入图片描述
3.修改testbench模板文件。可以把testbench文件添加到工程中进行修改,根据需求修改文件。特别要注意的是这里的实体名(COUNTER_vhd_tst)和元器件例化时的命名(i1),这在后面的设置中至关

  • 7
    点赞
  • 35
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值