Quartus II、Modelsim联合仿真(自动仿真)

  1. Quartus、Modelsim联合仿真(自动仿真)
    自动仿真并不完全自动,需要配置环境并编写仿真文件。

  2. 配置仿真环境
    首先安装好quartus II与Modelsim,安装过程不在详述。
    (1)quartus关联Modelsim
    打开quartus II软件新建工程,或者打开一个已有的工程,这里打开的是一个已有的工程,如下图所示:
    配置Modelsim的启动文件:Tools --> options -->EDA Tools Options,在ModelSim一栏把ModelSim软件的启动文件所在目录写进去
    Tools --> options
    图1 打开工程

图2 Tools --> options图3启动文件所在目录
最后点击’ok’即可。
(2)联合仿真工具选择Modelsim-Altera
在Quartus II工具栏:Assignments --> settings --> EDA tools settings,在仿真工具和语言类型处根据实际需要选择,这里选用的是Modelsim-Altera及verilog
图4 Assignments --> settings
图5  EDA tools settings

  1. 生成TestBench模板
    在工具栏:processing --> start --> start test bench template write,生成仿真文件模板
    图6
    模板文件保存路径如下:
    图7 仿真文件所在目录
    文件打开如下,根据设计编写仿真文件,设计不同,仿真文件不同,但格式是一样的。Quartus II软件已经为我们完成了一些基本工作,包括端口部分的代码、变量的声明以及例化测试的工程等,我们要做的就是在这个模板里添加我们需要的测试代码(也就是我们常说的激励)。eachvec和@eachvec是多余的信号,没有特殊的作用,我们可以将它删除也可以不删。
    图8 仿真文件

修改后将其另存为与模块名一致的文件。

  1. 将仿真文件添加进仿真工具
    在工具栏:Assignment --> settings --> simulation图9
    选中“Compile Test bench”,然后单击后面的【Test Benches】按钮,则出现如下图所示的“Test Benches”窗口:图10
    图11
    将TestBench文件名输入到“Test bench name”,将TestBench顶层模块名输入到“Top level module in test bench”的编辑栏中,因为一般而言TestBench文件名和顶层模块名相同,所以这里只用在“Test bench name”这一栏输入即可,软件自动同步添加“Top level module in test bench”。
    图12
    图13
    单击【Add】按钮添加到最下面的列表中。完成后我们单击【OK】按钮,便可看到下图所示的“Test benches”窗口的列表中出现了刚才添加的仿真文件相关信息。
    图14
    单击【OK】按钮,至此,我们仿真文件添加完成了,接着我们单击【OK】按钮,返回到Quartus II软件界面。
  2. 运行仿真
    在Quartus II软件界面中的菜单栏中找到【Tools】→【Run Simulation Tool】→【RTL Simulation】按钮,如下图所示界面:
    图15
    单击此按钮,则会出现如下图所示的波形图界面:
    图16
    点击图标开始仿真,点一次运行100ps,时间单位可以修改成ns、us等
    图17
### 回答1: Quartus IIModelSim是常用的数字电路设计工具,可以进行电路设计、综合、布局布线和仿真等操作。其中,Quartus II用于设计和综合,ModelSim用于仿真。通过Quartus IIModelSim的结合,可以实现数字电路设计的全流程。在仿真过程中,可以验证电路的正确性,找出潜在的问题,从而提高设计的可靠性和效率。 ### 回答2: Quartus IIModelsim是一种通信工具,常用于数电实验的仿真Quartus II是专门为该平台设计出的仿真软件,而Modelsim则是市场上比较流行的仿真工具。在这里,我们将介绍Quartus II Modelsim仿真的基本使用方法。 首先需要明确的是,Quartus II Modelsim仿真是基于Verilog代码进行的仿真。在这之前,请确保你已经写好了Verilog代码,并完成了水平和垂直约束。然后,使用Quartus II进行FPGA的编译,以生成可用的bit文件。 接下来,启动Modelsim仿真工具。单击Models ->波形编辑器或按F7键进入波形编辑器。这里可以制作仿真波形文件。在波形编辑器中,将FPGA的输入和输出端口添加到波形编辑器中,以便在仿真时进行观察。 接下来是仿真的准备工作。打开Tools->Run Script。在Run Script窗口中,选择(浏览…)bit文件,并用命令源更改路径。具体路径和具体实验有关。例如,当使用Cyclone IV FPGA时,可以在ModelsimFPGA库中选择等效的Cyclone II选项。你需要选择你所使用的设备类型和仿真时间,以便在仿真时可以有效缩短时间。 最后,单击“run”按钮以在Modelsim中开始仿真。此时,可以观察仿真波形,比较输入和输出的差异,并检查Verilog代码是否正确。如果在这个过程中,出现了任何错误或异常情况,可以在仿真窗口中查看仿真信息,或者回顾Verilog代码。如果需要,可以试着调整输入值,以检查FPGA在不同输入下的行为。 综上所述,Quartus IIModelsim是非常好用的仿真工具,在实验室和工业中都有广泛的应用。我们强烈建议学习者在学习Verilog或其他数字电路实验时尝试使用Quartus II Modelsim仿真。 ### 回答3: Quartus IIModelSim是常用的电子设计自动化(EDA)工具,用于数字电路的设计和仿真。这两个工具的配合使用可以有效地加快数字电路设计的过程,并检测和消除设计中的错误。 首先,Quartus II是一款FPGA开发工具,它提供了完整的FPGA设计流程,包括设计输入、综合、布局布线和固化。在设计完毕之后,用户可以使用Quartus II提供的仿真器进行功能仿真,并检查设计是否存在问题。 然而,由于Quartus II自带的仿真器只能简单地检查逻辑错误,无法进行更加复杂的波形仿真。因此,为了更加全面地检测数字电路的功能和时序,需要使用到ModelSimModelSim是一款著名的数字电路仿真器,广泛应用于学术界和工业界。它可以模拟设计的电路行为,并自动生成仿真波形,进而实现更加全面的检测和调试。同时,ModelSim还提供多个仿真模式,如RTL(寄存器传输级别)、行为、门级等,以帮助设计师根据需求选择最适合的仿真方式。 Quartus IIModelSim可以通过Alterar公司提供的链接进行集成,使得用户可以在Quartus II界面直接调用ModelSim进行仿真。用户只需简单地设置仿真方式和仿真选项,并导入所需的测试文件,即可开始进行数字电路的仿真和调试。 总之,Quartus IIModelSim是一对非常庞大和强大的工具,在数字电路设计过程中有着非常重要的作用。他们的高效合作可以大大提升数字电路的开发效率,并保证基于FPGA的数字电路设计质量。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值