Quartus II、Modelsim联合仿真(自动仿真)

  1. Quartus、Modelsim联合仿真(自动仿真)
    自动仿真并不完全自动,需要配置环境并编写仿真文件。

  2. 配置仿真环境
    首先安装好quartus II与Modelsim,安装过程不在详述。
    (1)quartus关联Modelsim
    打开quartus II软件新建工程,或者打开一个已有的工程,这里打开的是一个已有的工程,如下图所示:
    配置Modelsim的启动文件:Tools --> options -->EDA Tools Options,在ModelSim一栏把ModelSim软件的启动文件所在目录写进去
    Tools --> options
    图1 打开工程

图2 Tools --> options图3启动文件所在目录
最后点击’ok’即可。
(2)联合仿真工具选择Modelsim-Altera
在Quartus II工具栏:Assignments --> settings --> EDA tools settings,在仿真工具和语言类型处根据实际需要选择,这里选用的是Modelsim-Altera及verilog
图4 Assignments --> settings
图5  EDA tools settings

  1. 生成TestBench模板
    在工具栏:processing --> start --> start test bench template write,生成仿真文件模板
    图6
    模板文件保存路径如下:
    图7 仿真文件所在目录
    文件打开如下,根据设计编写仿真文件,设计不同,仿真文件不同,但格式是一样的。Quartus II软件已经为我们完成了一些基本工作,包括端口部分的代码、变量的声明以及例化测试的工程等,我们要做的就是在这个模板里添加我们需要的测试代码(也就是我们常说的激励)。eachvec和@eachvec是多余的信号,没有特殊的作用,我们可以将它删除也可以不删。
    图8 仿真文件

修改后将其另存为与模块名一致的文件。

  1. 将仿真文件添加进仿真工具
    在工具栏:Assignment --> settings --> simulation图9
    选中“Compile Test bench”,然后单击后面的【Test Benches】按钮,则出现如下图所示的“Test Benches”窗口:图10
    图11
    将TestBench文件名输入到“Test bench name”,将TestBench顶层模块名输入到“Top level module in test bench”的编辑栏中,因为一般而言TestBench文件名和顶层模块名相同,所以这里只用在“Test bench name”这一栏输入即可,软件自动同步添加“Top level module in test bench”。
    图12
    图13
    单击【Add】按钮添加到最下面的列表中。完成后我们单击【OK】按钮,便可看到下图所示的“Test benches”窗口的列表中出现了刚才添加的仿真文件相关信息。
    图14
    单击【OK】按钮,至此,我们仿真文件添加完成了,接着我们单击【OK】按钮,返回到Quartus II软件界面。
  2. 运行仿真
    在Quartus II软件界面中的菜单栏中找到【Tools】→【Run Simulation Tool】→【RTL Simulation】按钮,如下图所示界面:
    图15
    单击此按钮,则会出现如下图所示的波形图界面:
    图16
    点击图标开始仿真,点一次运行100ps,时间单位可以修改成ns、us等
    图17
  • 3
    点赞
  • 59
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值