【紫光同创国产FPGA教程】——PDS与Modelsim联合仿真教程

本原创教程由深圳市小眼睛科技有限公司创作,版权归本公司所有,如需转载,需授权并注明出处

一、打开仿真库编译:

打开工程,点击 PDS 的【tools】 菜单下的【Compile Simulation Libraries】。

 

二、设置:

 【Simulator】:第三方仿真工具,目前支持ModelSim和QuestaSim,本教程选择ModelSim;

【Language】:仿真库用的语言; 【Library】:选择 usim 则是 GTP 前仿库,vsim 则是 VOP 后仿库,ALL 则包括这两种仿真 库,默认选择 ALL;

【Family】: 指定芯片系列对应的仿真库进行编译,可支持一次编译多个系列,默认选择 ALL。

【Compiled Library Location】:编译出来的库的位置,默认是在当前工程下面;可以把 编译库放在一个固定位置。

【Simulator Executable Path】:选择 ModelSim 运行路径(ModelSim 安装文件下…/…/win64pe)

设置完成后点击 compile,运行编译库会有状态栏显示正在编译。

三、译仿真库

下面界面是开始进行编译,编译成功后点击 Close 完成编译;

 

开始进行编译

编译完成

四、添加或新建 tb 文件

双击 Simulation,添加或新建 tb 文件。

 添加或新建 tb 文件

五、联合仿真

在完成上述所有步骤后,右击 tb 文件,就可以进行联合仿真了。

 右击 tb 文件进行联合仿真

  • 5
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值