紫光同创 FPGA 开发跳坑指南(三)—— 联合 Modelsim 仿真

    Modelsim 是 FPGA 开发中重要的 EDA 设计仿真工具,主要用于验证数字电路设计是否正确。紫光 Pango Design Suite 开发套件支持联合 Modelsim 仿真,这里作简要的介绍。

添加仿真库

方法一

    打开 Pango Design Suite,点击 Tools -> Compile Simulation Libraries,在弹出来的窗口中,设置需要编译的器件库、编译库路径、Modelsim 路径。

在这里插入图片描述
    点击 Compile,等待编译结束。

在这里插入图片描述
    最后,打开前面设置的编译库路径,将 modelsim.ini 配置文件拷贝到 Modelsim 安装路径下。打开 Modelsim,在 Library 列表中看到以下库名称,就说明库编译完成了。

在这里插入图片描述

方法二

    如果不想使用 PDS 软件编译仿真库,还可以通过 tcl 脚本编译仿真库。首先,在桌面新建文本文件 pango_sim_compile.txt,修改文件后缀名为 tcl,用记事本打开,输入以下脚本并保存。

# 创建pango库
vlib pango

# 映射逻辑库到存储库
vmap pango ./pango

# 定义仿真文件存储路径
set pango_file_dir D:/pango/PDS_2021.4/arch/vendor/pango/verilog/simulation

# 编译库
vlog -incr $pango_file_dir/*.v -work pango

    打开 Modelsim,在命令行窗口中输入

source C:/Users/Administrator/Desktop/pango_sim_compile.tcl

    等待编译结束,Library 列表中就出现了 pango 库。

在这里插入图片描述
在这里插入图片描述

方法三

    如果不想编写 tcl 脚本,还可以直接添加已经编译好的仿真库。将附件压缩包解压到 Modelsim 的安装路径下,并在 modelsim.ini 文件中增加 pango 库的路径信息。

在这里插入图片描述
    打开 Modelsim,出现了 pango 库就说明仿真库添加成功。

联合仿真

    这里尝试仿真紫光同创 PLL IP 核,在 PDS 软件中配置 PLL IP 核并生成设计文件,clkin1 频率为 50MHz,clkout1 和 clkout2 的频率均为 200MHz,相位相差 180°。

请添加图片描述

     IP 核生成文件中自带了激励文件,将设计文件和激励文件添加进 Modelsim,编译,指定 pango 库并启动仿真。

在这里插入图片描述

    添加波形到波形窗口,测量得 clkout0 的频率约为 200MHz。

请添加图片描述

附件

    1. 紫光同创 Logos 系列 FPGA 行为级仿真库

    2. 紫光同创 Logos 系列 FPGA 网表级仿真库

  • 14
    点赞
  • 38
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
### 回答1: Vivado和ModelSim都是常用的数字电路设计工具。Vivado主要用于FPGA设计,而ModelSim则是一款流行的数字电路仿真工具。 如果你想在Vivado中使用ModelSim进行仿真,你需要首先设置仿真选项,选择ModelSim作为仿真器。然后,你需要将设计文件导出到ModelSim中,生成仿真模型和仿真脚本。 在ModelSim中运行仿真时,你需要指定仿真脚本和仿真模型。这样,ModelSim就可以加载你的设计,并运行仿真仿真结果将在ModelSim中显示。 总的来说,Vivado和ModelSim可以很好地配合使用,使得数字电路设计和仿真更加高效和方便。 ### 回答2: Vivado和Modelsim是数字电路设计中常用的两种仿真软件,其中Vivado是综合开发环境,主要用于设计、综合、实现和验证FPGA,而Modelsim则是一种主流的Verilog和VHDL仿真器,用于验证设计的行为和功能。两种软件的联合使用可以提高设计流程的效率和仿真的准确度。 在实际应用中,Vivado和Modelsim联合仿真是非常常见的设计流程。首先,我们需要在Vivado环境中完成设计、综合和实现。然后,在Vivado中打开Simulation选项卡,选择“Run Simulation”并选择“Behavioral Simulation”来生成仿真模型文件。接着,我们将生成的模型文件导入到Modelsim中进行仿真。 在Modelsim中进行仿真需要编写仿真脚本,通常包括以下内容:首先,设定仿真时钟周期和仿真时间范围,以确保在仿真过程中所有信号都能够被完整地仿真。其次,需要添加仿真模型和设计源代码,并将其进行综合。最后,启动仿真并查看仿真波形,以验证信号的正确性和时序问题。 值得注意的是,在Vivado中生成的仿真模型文件可能与Modelsim仿真要求略有不同,可能需要进行少量的修改。此外,在使用Modelsim进行仿真时,需要考虑计算机硬件配置的限制,尽可能提高仿真效率和准确度。 总之,Vivado和Modelsim联合仿真是数字电路设计中不可缺少的环节,可以有效提高设计的效率和准确度,帮助工程师更好地完成FPGA设计任务。 ### 回答3: Vivado是一款强大的FPGA设计工具,而ModelSim则是一个常用的硬件描述语言仿真工具。在进行FPGA设计时,我们通常需要将两者联合使用来进行功能验证和测试。下面是关于vivado联合modelsim仿真的详细介绍。 首先,我们需要在Vivado中进行信号追踪。Vivado中的信号追踪功能可以将设计中的信号轨迹从设计的开始到结束跟踪,并将其导出为.vcd格式的文件。这样,我们就可以将这个文件导入到ModelSim中进行仿真。 接下来,我们需要在ModelSim中创建一个新的仿真工程。在创建工程时,我们需要将.vcd文件添加到仿真库中,并将需要仿真的模块添加到仿真库中。此外,在ModelSim中,我们在编写仿真程序时还需要注意的一点是,需要将仿真的时钟和复位信号设置为和Vivado中设计的时钟和复位信号一致。 然后,在ModelSim中编写模块的仿真代码: 我们需要编写仿真代码来测试我们的模块。模块的仿真代码需要包括仿真时钟和复位信号的处理,测试数据的生成和输入的模拟,以及仿真结果的读取和比对。 在仿真过程中,我们需要对仿真结果进行分析:仿真结果可能出现意料之外的情况,我们需要对仿真结果进行分析,找出问题所在,并对模块代码进行修改和优化,直到最终达到我们想要的仿真结果为止。 最后,我们需要将修改后的模块代码重新导入到Vivado中进行验证。如果验证通过,则成功完成vivado和modelsim仿真联合测试。 总而言之,vivado联合modelsim仿真可以提高FPGA设计的测试和验证效率,减少开发时间和成本,并且可以提高设计的可靠性和稳定性。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

洋洋Young

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值