systemverilog中位向量系统函数---$countbits/$countones/$onehot/$onehot0/$isunknown

systemverilog中位向量系统函数有如下五个:

1、$countbits ( expression , control_bit { , control_bit }
此函数$countbits()的作用是计算位向量中指定的0 1 x z的数量;返回值位一个整数,这个整数就是指定的control_bit的数量。
例如:
$conutbits(expression, '1),返回表达式中1的数量;
$countbits(expression, '0, '1),返回表达式中0和1的数量;
$countbits(expression, 'x, 'z),返回表达式中x和z的数量;
使用上述函数的注意点:control_bit参数的参数类型是1位逻辑,表示在vector中计算的一个值。如果传入一个宽度大于1的值,则只使用LSB。如果任何单独的值在控制位中出现了不止一次,那么它将被完全视为出现过一次。
$countbis()表达式参数应该是位流类型。为了计算返回值,这个参数被当作被赋值为{>>{expression}}一个相同大小的向量。

为了方便使用,提供以下相关函数。
2、$countones ( expression )
此函数$countones()的作用是计算位向量中指定的1的数量,等价于$conutbits(expression, '1)。返回值位一个整数,这个整数就是指定1的数量。

3、$onehot ( expression )
$onehot ( expression ) 如果$countbits(expression,'1)==1, 返回真true(1’b1) ,否则返回 false (1’b0)。

4、$onehot0 ( expression )
$onehot0 ( expression ) 如果$countbits(expression,'1)<=1, 返回真true(1’b1) ,否则返回 false (1’b0)。

5、$isunknown ( expression )
$isunknown ( expression ) 如果$countbits(expression,'x,'z)!=0,返回true (1’b1) ,否则返回 false (1’b0)。

上述每个函数的表达式参数遵循与表达式$conutbits参数相同的规则。$conutbits的返回类型是int。其他的函数返回类型是bit。

  • 4
    点赞
  • 83
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值