AMBA5 AHB协议规范(AHB5,AHB-Lite)中文版-第四章 总线互联

第四章

总线互联
本章描述AHB系统所需的附加互连逻辑。它包括以下部分:
–互联on page 4-52.
–地址解码 on page 4-53.
– 读数据和响应多路复用器 on page 4-54.

4.1 Interconnect

互连组件提供系统中主从之间的连接。
一个单一的主系统只需要使用解码器和多路复用器,如下面的章节所述。
一个多主系统需要使用一个互连提供从不同的主机到适当的从机的仲裁和路由信号。这种路由对于地址、控制和写数据信号都是必需的。 本规范没有提供用于多主系统的不同方法的更多细节,例如单层或多层互连。
有关实现多层AHB- lite互连的更多信息,请参阅多层AHB技术概述(arm dvi 0045)。

4.2 Address decoding

地址解码器为总线上的每个从机提供一个选择信号HSELx。选择信号是对高阶地址信号进行组合解码。鼓励采用简单的地址译码方案,以避免复杂的译码逻辑,并确保高速运行。
当HREADY为HIGH时,从机必须只采样HSELx、地址和控制信号,表明当前传输将要完成。在某些情况下,当HREADY为LOW时,HSELx是可能是有效的,但是所选的从机在当前传输完成时已经改变了。
可以分配给单个slave的最小地址空间为1KB,地址区域的起始和结束必须在1KB的边界上。所有主机都被设计成不会在1KB地址边界上执行增量传输。这确保了突发不会跨越地址解码边界。
解码器产生的HSELx从选择信号如图4-1所示。
在这里插入图片描述

4.2.1 Default slave

如果一个系统设计不包含一个完全填充的内存映射,那么当任何一个不存在的地址位置被访问时,必须实现一个额外的默认从机来提供响应。
如果一个NONSEQUENTIAL或SEQUENTIAL的传输试图访问一个不存在的地址位置,那么默认从站提供一个错误响应。
IDLE或BUSY传输访问不存在的位置会导致一个零等待状态的OKAY响应。

4.2.2 Multiple slave select.

一个从机接口允许支持多个从机选择、HSELx信号。每个HSELx信号对应于高阶地址位的不同解码。每个HSELx信号对高阶地址位的不同解码进行响应。
这允许一个从机接口提供多个逻辑接口,每个逻辑接口在系统地址映射中具有不同的位置。逻辑接口可分配的最小地址空间为1KB。这种方法不需要从机支持地址解码来区分逻辑接口。
多个HSELx信号的典型用例是一个外设,它的主数据路径和控制寄存器位于地址映射的不同位置。这两个位置都可以通过一个接口访问,而不需要从机执行地址解码。

4.3 Read data and response multiplexor

AHB协议与读数据多路复用互连方案一起使用。主机将地址和控制信号发送给所有从机,解码器选择合适的从机。任何来自所选从机的响应数据,经过读数据多路复用器传到主机。
图4-2显示了实现有三个从机的设计所需的多路互连结构。
在这里插入图片描述
Note
如果独占传输被支持,多路复用器还必须将适当的HEXOKAY信号路由到主机。

  • 2
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值