UVM中uvm_sequencer的方法总结

类声明

class uvm_sequencer #(
  type REQ = uvm_sequence_item,
  RSP = REQ
) extends uvm_sequencer_param_base #(REQ, RSP)

|new|使用给定的名称和父类(如果有)创建该类实例的标准componnet构造函数。|
|stop_sequences|告诉sequencer杀死当前在sequencer上操作的所有sequence和子sequence,并删除当前排队的所有请求、锁定和响应。|

sequencer接口这是一个与sequencer通信的接口
seq_item_export该export提供了对该sequencer接口的sequencer实现的访问。
get_next_item从sequence中检索下一个可用的item项。
try_next_item如果sequence中有可用项,则从sequence中检索下一个可用项。
item_done表明请求已经完成。
put发送响应发回给发送请求的sequence。
get从sequence中检索下一个可用item项。
peek如果有一个在FIFO中,则返回当前请求项。
wait_for_sequences等待sequence有一个可用的新item。
has_do_available如果在sequencer上运行的任何sequence准备提供transaction,则返回1,否则返回0。

new

function new (
  string name,
  uvm_component parent = null
)

使用给定的名称和父类(如果有)创建该类实例的标准component构造函数。

stop_sequences
virtual function void stop_sequences()
告诉sequencer杀死当前在sequencer上操作的所有sequence和子sequence,并删除当前排队的所有请求、锁定和响应。这实质上是将sequencer重置为空闲状态

sequencer接口
这个一个和sequencer通信的接口。
这个接口定义为

Requests:
virtual task get_next_item (output REQ request);
virtual task try_next_item (output REQ request);
virtual task get (output REQ request);
virtual task peek (output REQ request);
Responses:
virtual function void item_done (input RSP response=null);
virtual task put (input RSP response);
Sync Control:
virtual task wait_for_sequences ();
virtual function bit has_do_available ();

请参阅 uvm_sqr_if_base #(REQ,RSP) 了解这个接口的信息。

seq_item_export

uvm_seq_item_pull_imp #(
  REQ,
  RSP,
  this_type
) seq_item_export

该export提供了对该sequencer接口的sequencer实现的访问。

get_next_item

virtual task get_next_item (
  output REQ t
)

从sequence中检索下一个可用的item。

try_next_item

virtual task try_next_item (
output REQ t
)

如果sequence中有可用项,则从sequence中检索下一个可用项.

item_done

virtual function void item_done (
  RSP item = null
)

表明此请求已经完成。

put

virtual task put (
  RSP t
)

将响应发送回发出请求的sequence。

get
task get (
output REQ t
)
从sequence中检索下一个可用项。

peek

task peek (
  output REQ t
)

如果有一个在FIFO中,则返回当前请求项。

wait_for_sequences
等待sequence有一个可用的新项。

has_do_available
如果在该sequencer上运行的任何sequence准备提供事务,则返回1,否则返回0。

  • 1
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值