Reporting Classes中uvm_report_server的get_severity_count和get_server用法

uvm_report_server.
Uvm_report_server是一个全局服务器,它处理由uvm_report_handler生成的所有报告。
uvm_report_server是一个抽象类,它将其许多方法声明为纯虚方法。UVM使用uvm_default_report_server类作为其默认的报表服务器实现。
方法
get_severity_count — 返回已传递的具有严重性的消息的数量
get_server — 获得用于报表的全局报表服务器。

get_severity_count

pure virtual function int get_severity_count(
  uvm_severity severity
)

返回已传递的具有严重性的消息的数量。

get_server
static function uvm_report_server get_server()
获取用于报表的全局报表服务器。

此方法作为通过uvm_coreservice_t::get_report_server方法检索报表服务器的方便包装器提供。

//使用uvm_coreservice_t:
uvm_coreservice_t cs;
uvm_report_server rs;
cs = uvm_coreservice_t::get();
rs = cs.get_report_server();
//不使用uvm_coreservice_t:
uvm_report_server rs;
rs = uvm_report_server::get_server();

例子:

function void final_phase(uvm_phase phase);
  uvm_report_server m_server = uvm_report_server::get_server();
  super.final_phase(phase);
  if(m_server.get_serverity_count(UVM_FATAL)||(m_server.get_serverity_count(UVM_ERROR))
    $display("CASE FAILED");
  else
    $display("CASE PASS");
endfunction

或者

virtual function void check_phase(uvm_phase phase);
  var uvm_report_server svr = get_report_server();
  super.check_phase(phase);
  if(svr.get_severity_count(UVM_ERROR) == 0 && svr.get_severity_count(UVM_FATAL) == 0) begin
    `uvm_info(tID, `REPORT_PASS_STRING, UVm_NONE);
  else
    `uvm_info(tID, `REPORT_FATAL_STRING, UVM_NONE);
  end
endfunction
  • 4
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值