夏宇闻verilog第十章答案_练习一

内容经过我的仿真和测试,若有错漏,请留言指出!

源码:

module Com(a,b,out);
input [7:0] a,b;
output out;
assign out = (a > b)? 1:0;

endmodule

测试代码:

`timescale 1ns/1ns
module com8;
reg [7:0]a,b;
wire out;
initial
begin
	a = 8'h0;
	b = 8'h0;
	repeat(20)//总共仿真时间来200ns就够了
	begin
		#10
		a = ($random)%8'hff;
		b = ($random)%8'hff;
	end
end
Com Com0(
			.a(a),
			.b(b),
			.out(out)
		);
endmodule

仿真结果:

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值