12进制和20进制计数器用verilog语言实现

对quartus算是头一次具体接触吧,才发现功能很强大,能画电路图竟然
还能写代码,确实很厉害。这个十二进制的计数器咨询了师兄,不然自己真的很难写出来,这么多编程语言每一种用法都不一样,也是醉了,不扯了,上图吧
这里写图片描述
这里写图片描述

下面这个是二十进制的,这个还好把12改成20就可以了
这里写图片描述
这里写图片描述

  • 6
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
下面是一个简单的Verilog代码,实现了10进制计数器与60进制计数器的级联。 ```verilog module counter_10( input clk, // 时钟信号 input rst, // 复位信号 output reg [3:0] count // 4位10进制计数器的输出 ); always @(posedge clk or negedge rst) begin if (rst == 0) begin count <= 0; end else begin count <= count + 1; end end endmodule module counter_60( input clk, // 时钟信号 input rst, // 复位信号 input [3:0] in_count, // 上一级计数器的输出 output reg [5:0] count // 6位60进制计数器的输出 ); reg [3:0] sec_count; // 秒计数器 reg [5:0] min_count; // 分钟计数器 counter_10 sec_counter(.clk(clk), .rst(rst), .count(sec_count)); always @(posedge clk or negedge rst) begin if (rst == 0) begin min_count <= 0; sec_count <= 0; count <= 0; end else begin sec_count <= sec_count + 1; if (sec_count == 10) begin sec_count <= 0; min_count <= min_count + 1; if (min_count == 60) begin min_count <= 0; end end count <= {min_count, sec_count}; end end endmodule ``` 这个代码定义了一个4位10进制计数器和一个6位60进制计数器,并将它们级联在一起。其中,10进制计数器使用一个always块来实现简单的加一逻辑,而60进制计数器使用了两个计数器,一个用于计算秒数,另一个用于计算分钟数,在秒数计数器达到10时,分钟数计数器加1,并且在分钟数计数器达到60时,它将被重置为0。 在60进制计数器的模块中,我们使用了counter_10模块来实现秒数计数器。这个模块也是一个简单的计数器,只是它只有4位输出。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值