作业2:用Verilog实现12进制计数器

1.新建工程文件

2.新建Verilog文件,注意文件名称必须与工程相同, 编写完成后的文件如下图所示

通过TOOL工具栏的相关选项查看工程的RTL文件如下图所示


通过建立VWF仿真文件,并且加入使能信号、时钟信号后观察仿真的结果如下图所示。



评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值