数据类型 systemverilog语法 UVM

【systemverilog语法和UVM】数据类型

发现问题,用技术解决问题。兴趣是自己的源动力 !

前言

数据类型是任何编程语言的重中之重,任何编程不管是verilog、systemverilog、c、c++还是python等等,都是对数据的搬运和处理。只有掌握好数据是什么样的,才能进行更好的实现我们需要的功能。

为了快速学习为目的,本文学习知识点,点到为止,后续有需求再进行补充。


一、内建数据类型

内建数据类型就是最基础的数据类型,不可再分割了,之后的所有数据,包括数组、enum、或者自定义的数据结构,都是由内建数据类型构成。内建数据类型有以下:

  • SV中logic为4值逻辑,即其值可以有4个,分别为 0/1/x/z
  • SV中bit类型为双状态,2值逻辑,分别为0/1

在这里插入图片描述其中,byte/shortint/int/longint:位宽分别是 8/16/32/64

SV对Verilog数据类型也是兼容的,即Verilog的数据类型SV也都包括。例如Verilog net类型的wire,variable中的reg, integer, real等。

记忆有符合和无符号:只要数据类型不是单bit定义,都是有符号的。有符号数可以表示的数据量,如btye,是-128~127,不是2^8 - 1=255

对于这几种数据类型的使用需要注意以下几点:

  • logic可以代替reg和wire使用,但是如果是双端口,必须用wire。此外,logic 可以assign赋值了
  • 双状态的数据类型,只能表示0和1,实际电路中还有高阻态和亚稳态。在仿真中,如果用双状态,需要考虑该变量是否有X和Z,可以通过下面代码来检测:
if($isunknown(iport) == 1)

二 、定宽数组

和verilog中],对比着理解。要分清下面的说法。

reg [7:0] data1;// 这只是内建数据类型的一个数据
reg [7:0] data2 [0:15]; // 这是一个由类建数据类型构成的一维数组
int data3[16]; // 这也是一个一维数组,data[0]~data[15] 装了16个int类型的内建数据(或者称为元素)
int data4[0:15];// 同data3

// 再理解2维数组:下面两个是一样的,只是表达不一样。和一维一样的理解,里面是装内建数据(元素),而不是单bit层次的数据;
byte data5[0:7][0:3]; 
byte data6[8][4]; 
// 二维数组赋值或者初始化
data6[7][3] = 99;

// 常量数组声明和初始化
int data7[5] = '{1,2,3,4,5};
  • 另外需要分别的一点:一般sv数据位宽是0:7,这样去定义;而verilog习惯是从7:0;
  • 越界读取数组数据,即比如读取了data6[9][1],如果该数组中内建类型是4状态,则读取的为X,如果是双状态,读取的是0。

其核心要点:其实要明白,内建数据类型是基本数据,数组是由内建数据来构成的。或者换一句来理解,数组只是用来装一般的内建数据的。总之,数组被发明出来,是为了更好的让我们去管理数据的(内建数据类型)

还有个小知识点:

数据在内存空间的存放问题:

  • 在存放数组元素时,byte、sortint、int在存放在一个字中(32bit),longint存放在2个字中。非合并数组,字的地位存数据,高位空着。如下图:
    在这里插入图片描述
  • 仿真器一般使用两个或者两个以上的字来存放logic和integer

三、基本的数组操作 - for和foreach

3.1 一维数组操作

实现一个数组的初始化和赋值的操作

`timescale 1ns/1ns
module tb_top;

bit [31:0] src[5],dst[5];

initial begin
	for(int i = 0;i < $size(src);i++) // $size 返回数组位宽;for循环后,跟一条语句
		src[i] = i;
	foreach (dst[j]) // 循环遍历索引 i
		dst[j] = src[j] * 2;
	foreach (dst[k])
		$display("dst value = %d",dst[k]);
end
endmodule

在这里插入图片描述

3.2 多维数组的操作

后续是数据的基本操作…待定

总结

  • 欢迎一起交流学习,如有错误之处,还请各位指正。

参考资料

[1] 《systemverilog验证 测试平台编写指南》

  • 23
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值