引言
本文讨论如何理解for循环在逻辑电路中的实现。通过各种写法的rtl电路对比,来探讨for循环的等价电路,或者for电路的等价展开电路。
for循环示例
如下给出一个for循环使用的例子,我们来试图理解for循环如何体现在逻辑电路中。
`timescale 1ns / 1ps
module generate_test(
input wire clk ,
input wire rst ,
input wire [2:0] in_sel ,
//
input wire [7:0] in_ch0 ,
input wire [7:0] in_ch1 ,
input wire [7:0] in_ch2 ,
output reg [7:0] in_ch_seq
);
wire [7:0] in_ch[2:0];
assign in_ch[0