RTL综合<2>----for循环

博客探讨了Verilog中for循环的综合问题,通过示例代码和仿真波形展示了在某些情况下,for循环可能无法正确实现预期功能,特别是在涉及前向依赖的结果计算时。建议仅对简单的独立赋值进行综合。
摘要由CSDN通过智能技术生成

对于现在的仿真器,for循环一般都是可以综合的。


`timescale 1ns / 1ps
module    test(
    input               clk, rst_n,
    input       [7:0]   data,
    output  reg [2:0]   num
);
//=====================================
integer i;
always@(posedge clk)
begin
    if(!rst_n)
        num <= 3'd0;
    else for (i=0
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值