深拷贝和浅拷贝(systemverilog)

拷贝的含义

首先深拷贝和浅拷贝都是指拷贝一个对象,而不是句柄。当只拷贝对象中的成员变量和声明的句柄时,称为浅拷贝。而深拷贝会复制对象中的所有成员变量以及对象中嵌套的其他类的实例的内容。
举个例子,比如下面这个套娃(类的嵌套),当拷贝A的对象时:

class A ; 
  int [31:0]data_b;
  B  b;  //声明句柄b,初始值为null 
  function new();
	b = new(); //句柄b 指向一个对象
  endfunction
endclass

class B ;
  int [31:0]data_b;
  ...
endclass

解释代码:

  • A在实例化时,会在A对象中实例化产生一个句柄b指向的对象;
  • 浅拷贝时,只会拷贝A对象中的 成员变量data_a 和 B的句柄b,而不会拷贝B的对象中的内容;
  • 深拷贝时,不仅仅会拷贝当前A的对象的成员变量,还会拷贝A实例中的B的对象内容,即进行深层次的复制;

浅拷贝

一般我们直接copy一个对象时,就是浅拷贝。如下:

class A ; 
  int [31:0]data_b;
  B  b;
  function new();
	b = new(); 
  endfunction
endclass

class B ;
  int [31:0]data_b;
  ...
endclass

module test_copy;
	A a1,a2;
	initial begin
		a1 = new();
		a2 = new a1; // a2浅拷贝a1
	end
endmodule

特点:

  • 浅复制只把对象中的句柄复制了,却没有复制句柄b所指向的对象。这会导致复制后,a2中的句柄b 和 a1 中的句柄b指向同一个对象,如果a2中的句柄b修改了对象的内容,那么a1中的句柄 b 所指向的内容也会发生变化。
  • new操作符会创建了一个新的对象,并且复制了现有对象的所有变量,但是不会调用已经定义的任何构造函数。

👉自己定义拷贝方法还可以看:对象复制

深拷贝

为了避免复制后的对象与被复制对象中的句柄指向同一个对象,就需要实现深拷贝,可以自己在类中定义copy方法,目的是为了在复制类中的套娃(对象里面另一个类的实例)时,产生一个新的句柄,并产生一个具有相同内容的对象。

//嵌套的类
class Deep_class ;
  int [31:0]data_deep = 'h2;
  
  //构造函数
  function new();
  endfunction
  
  //拷贝函数,拷贝当前Deep_class 类的对象中的内容,并生成一个新的对象
  function Deep_class copy();
    Deep_class copy = new();
    copy.data_deep= this.data_deep;
    return copy;//返回句柄
  endfunction
endclass

class shallow_class ; 
  int [31:0]data_shallow = 'h8;
  Deep_class deep;
  
  function new();
	deep = new(); //实例化嵌套的类
  endfunction
  
  function shallow_class copy();
    shallow_class copy = new();
    copy.data_shadow= this.data_shallow;
    copy.deep = deep.copy(); //获取Deep_class 类型的句柄
    return copy;//返回shallow_class 类型句柄
  endfunction
endclass

module test_copy;
	shallow_class c1,c2;
	initial begin
		c1 = new();
		c2 = c1.copy();
endmodule 
  • 3
    点赞
  • 30
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
拷贝是指当一个对象被复制到另一个对象时,它们共享同一块内存空间。换句话说,新对象只是原对象的一个引用,对其中一个对象的修改会影响到另一个对象。例如,拷贝中,如果对p2中的a1句柄做操作,将会影响p1中的a1。 拷贝是指当一个对象被复制到另一个对象时,会为新对象分配一块新的内存空间,并将原对象中的所有成员变量(包括数据变量、数据操作和其他句柄)都复制过来。换句话说,拷贝创建了一个完全独立的对象,对其中一个对象的修改不会影响到另一个对象。例如,拷贝中,每个对象拷贝都会分配新的内存空间,可以将拷贝对象中所包含的对象拷贝过来,自定义copy函数,copy调用了new函数。 在SystemVerilog中,拷贝拷贝的概念可以用于复制类对象或结构体对象。拷贝通常需要自定义copy函数,以确保所有成员变量都被正确复制。拷贝则是通过简单地复制句柄来实现。具体使用哪种拷贝方式取决于需要的功能和内存管理需求。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [SV中的拷贝拷贝](https://blog.csdn.net/sinat_41774721/article/details/124485379)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [sv中的复制和复制](https://blog.csdn.net/m0_66430481/article/details/125351578)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小小verifier

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值