Verilog设计模十计数器



module lab02(input clk,input clr,
   output reg[6:0] b);
reg [3:0]q;
always@(posedge clk,negedge clr)
 begin
   if(!clr)
     q<=4'b0000;
   else 
     if (q==4'b1001)
       q<=4'b0000;
     else
       q<=q+1'b1; 
 end 

always@(q)
  begin
   case(q)
   	4'h0:b<=7'b1000000;
   	4'h1:b<=7'b1111001;
	4'h2:b<=7'b0100100;
	4'h3:b<=7'b0110000;
	4'h4:b<=7'b0011001;
	4'h5:b<=7'b0010010;
	4'h6:b<=7'b0000010;
	4'h7:b<=7'b1111000;
	4'h8:b<=7'b0000000;
	4'h9:b<=7'b0010000;
    default:b<=7'b1111111;
    endcase
  end
endmodule

语言环境:Quartus

注意事项:文件命名XXX与module+XXX必须相同
可以在本示例基础上实现多进制计数器

  • 0
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值