Quartus计算机组成与设计实验原理图整理(七)——ROM实验

1实验目的:
(1)掌握FPGA中lROM的设置,作为只读存储器ROM的工作特性和配置方法;
(2)用文本编辑器编辑mif文件配置ROM,学习以mif格式文件加载于ROM中;
(3)在初始化存储器编辑窗口编辑mif文件配置ROM;
(4)验证FPGA中ROM的功能。

2实验内容:
实验中主要掌握三方面的内容:1、ROM的参数设置;2、ROM中数据的写入,即初始化文件的编写;3、ROM的实际应用,在实验台上的调试方法。

3实验步骤:
参考《程序存储器数据存储器参考资料》中的ROM的设计过程。下载示例工程文件(图7)至实验台上的FPGA,选择实验台模式为0,24位数据输出由数码8至数码3显示,6位地址由键2、键1输入,键1负责低4位,地址锁存时钟CLK由键8控制,每一次上升沿,将地址锁入,数码管8/7/6/5/4/3将显示ROM中输出的数据。发光管6至1显示输入的6位地址值。

4实验任务:
实验前认真复习ROM存储器部分的有关内容;用图形编辑设计rom。
1、要求用元件库设计ROM,地址总线宽度address[]和数据总线宽度q[]分别为6位和24位。2、建立相应的工程文件,设置rom数据参数,ROM配置文件的路径(ROM_A.mif),并设置在系统ROM/RAM读写允许,以便能对FPGA中的ROM在系统读写。3、锁定输入输出引脚。4、完成全程编译。5、下载SOF文件至FPGA,改变lpm_ROM的地址a[5…0],外加读脉冲,通过实验台上的数码管比较读出的数据是否与初始化数据(rom4.mif中的数据)一致。6、打开QuartusII的在系统存储模块读写工具,了解FPGA中ROM中的数据,并对其进行在系统写操作。(3)记录实验数据,写出实验报告。

实验原理图:
在这里插入图片描述
在这里插入图片描述

  • 6
    点赞
  • 30
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值