每天学命令<report_clocks>

report_clocks      
[-description]  
[-phase_shift_table]  
[-total_shift_table]  
[-uncertainty_table]  
[-adjustment_table]  
[-delay_adjustment_table]  
[-source_insertion]  
[-insertion] 
[-hierarchy]  
[-groups]  
[-clocks clk_signame | clk_signame_list ] 
[-view view_name ]  


这个命令可以报出design中的clock信息。包括clock waveforms, clock arrival points, ,clock uncertainties等等


-description  报出clock最基本的信息,从create_clock, create_generate_clock得到,见例子1

-phase_shift_table  报出design中详细的phase shift table情况,见例子2

-uncertainty_table  报出clock uncertainty的详细table,见例子3

-adjustment_table  报出setup,hold analysis中,经过set_multicycle_path后的cycle adjustment具体信息,见例子4

-delay_adjustment_table  报出setup,hold analysis中,经过set_max_delay, set_min_delay后的path delay adjustment具体信息,见例子5

-source_insertion  报出指定clock的source insertion delay, 见例子6

-insertion  报出指定clock的network insertion delays,table的delay格式为min:typ:max,见例子7

-hierarchy  报出当前design中的clock hierarchical 关系,见例子8

-groups  报出当前design中的clock group情况,见例子9

-clocks  指定需要报出的clock名字

-view  指定analysis view

例子:

(1)report_clocks -description

(2)report_clocks -phase_shift_table

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
是的,SDC 约束文件中有 `get_clocks` 命令。 `get_clocks` 命令用于获取时钟对象,并将其添加到时钟域中。该命令的语法如下: ``` get_clocks [-of_objects <object_list>] [-include_generated_clocks] [-of [ports | nets | cells | pins | instances]] [-filter <filter_expression>] [-hierarchical] [-quiet] ``` 其中,`-of_objects` 选项指定对象列表,该命令将在这些对象中查找时钟对象。`-include_generated_clocks` 选项指示命令还包括自动生成的时钟。默认情况下,该选项为关闭状态,即不包括自动生成的时钟。`-of` 选项指定要查找的对象类型,可以是端口、网、单元格、引脚或实例。`-filter` 选项指定过滤表达式,用于进一步筛选对象。`-hierarchical` 选项指示命令对于每个匹配的对象,是否也应该查找其下层层次结构中的时钟。默认情况下,该选项为关闭状态,即不查找下层层次结构中的时钟。`-quiet` 选项指示命令在运行时不输出任何消息。 例如,以下命令获取名为 `sys_clk` 的时钟对象,并将其添加到时钟域 `my_domain` 中: ``` create_clock -name sys_clk -period 10.0 [get_clocks -of_objects [get_ports sys_clk]] set_clock_groups -name my_domain -asynchronous -group [get_clocks -of_objects [get_ports sys_clk]] ``` 在此示例中,`get_ports` 命令用于获取名为 `sys_clk` 的端口对象,`get_clocks` 命令用于获取与该端口相关联的时钟对象,`create_clock` 命令用于创建时钟,并将其添加到时钟域 `my_domain` 中,`set_clock_groups` 命令用于定义异步时钟域。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值