Quartus让写好的代码变成组件、元件

1    模块化

让写好的代码变成组件、元件

1.1   成为拖拽元件

选中后File->Create/update->create symbolFile

1.2   成为代码中的组件

1.2.1    签名

在architecture … is 的下方

component fa_t2

       port(ngx,ngy,z  : in std_logic;

                     s,ngco : out std_logic);

end component ;

 

 

1.2.2    调用

       A1:fa_t2port map(ngx,ngy,z,s,ngco);

括号里面是函数参数

 

  • 0
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值