VIVADO 综合属性 ASYNC_REG = “TRUE“

非原创 ,从知乎转载过来的 ,转载地址

https://zhuanlan.zhihu.com/p/36790736

ASYNC_REG属性一般用于跨时钟域的数据同步上,该属性指定:寄存器可以接受异步数据或者该寄存器是一个位于同步链上的同步寄存器。

在仿真过程中,当发生时序违例时,寄存器默认行为会输出X或不定态,从而进可能在多个路径上传输不定态。ASYNC_REG能够使得在发生时许违例时输出上次已知值。

在附加了ASYNCREG属性后,在综合的过程中将不会优化该寄存器及其周边逻辑,同时也影响optimization,place&routing以提高MTBF(mean time between failure)。在布局时,将会确保同步链上的寄存器摆放尽可能接近一最大化MTBF,直接相连的带有该属性的寄存器将会直接放进单个SLICE/CLB(如果寄存器同时带有ASYNC_REG和IOB属性,IOB将优先于ASYNC_REG,寄存器将会被存放至ILOGIC block)。

如下例所示,,sync_0,sync_1将会被放至同一个SLICE/CLB内:

(*ASYNC_REG="TRUE"*)reg sync_0,sync_1;
always @(posedge clk)
begin
sync_1<=sync_0;
sync_0<=en;

其电路图及布局图分别如下图所示:

综合电路图

布局图

属性原语:

verilog:

(* ASYNC_REG = "{TRUE|FALSE}" *)

Verilog Syntax Example

// Designates sync_regs as receiving asynchronous data

(* ASYNC_REG = "TRUE" *) reg [2:0] sync_regs;

XDC Syntax

set_property ASYNC_REG value [getcells <instance_name>]

Where

• <instance_name> is a register cell.

XDC Syntax Example

# Designates sync_regs as receiving asynchronous data

set_property ASYNC_REG TRUE [get_cells sync_regs*]

  • 2
    点赞
  • 35
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
好的,以下是一个简单的Vivado仿真测试程序,用于验证您的代码逻辑是否正确: ```verilog `timescale 1ns / 1ns module testbench; // 定义输入和输出端口 reg clk; reg n_s_red, n_s_yellow, n_s_green, e_w_red, e_w_yellow, e_w_green; // 实例化被测试的模块 traffic_light dut( .clk(clk), .n_s_red(n_s_red), .n_s_yellow(n_s_yellow), .n_s_green(n_s_green), .e_w_red(e_w_red), .e_w_yellow(e_w_yellow), .e_w_green(e_w_green) ); // 定义仿真时钟 always #5 clk = ~clk; // 测试交通灯状态转换 initial begin clk = 0; #5; // 等待5个时钟周期 if (n_s_red !== 1 || n_s_yellow !== 0 || n_s_green !== 0 || e_w_red !== 0 || e_w_yellow !== 1 || e_w_green !== 0) $display("Test failed!"); // 检查状态是否正确 else $display("NS_RED_EW_GREEN passed!"); // 输出测试通过信息 #3; // 等待3个时钟周期 if (n_s_red !== 1 || n_s_yellow !== 1 || n_s_green !== 0 || e_w_red !== 0 || e_w_yellow !== 0 || e_w_green !== 0) $display("Test failed!"); // 检查状态是否正确 else $display("NS_YELLOW_EW_RED passed!"); // 输出测试通过信息 #1; // 等待1个时钟周期 if (n_s_red !== 0 || n_s_yellow !== 0 || n_s_green !== 1 || e_w_red !== 1 || e_w_yellow !== 0 || e_w_green !== 0) $display("Test failed!"); // 检查状态是否正确 else $display("NS_GREEN_EW_RED passed!"); // 输出测试通过信息 #3; // 等待3个时钟周期 if (n_s_red !== 1 || n_s_yellow !== 0 || n_s_green !== 0 || e_w_red !== 1 || e_w_yellow !== 1 || e_w_green !== 0) $display("Test failed!"); // 检查状态是否正确 else $display("NS_RED_EW_YELLOW passed!"); // 输出测试通过信息 $finish; // 终止仿真 end endmodule ``` 请将上述代码保存为 `.v` 文件,并在 Vivado 中创建仿真文件。在仿真文件中添加该测试程序,并运行仿真即可。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值