UVM中启动sequence方法总结

方法有三:

通过sequnce.start的方式启动
通过`uvm_do系列宏来启动
通过default sequence来启动
下面简单地展开说明.

通过sequence.start方式启动:

start方法是“uvm_seuquence_base”类中的一个task。

原型为:virtual task start (uvm_sequencer_base sequencer,

uvm_sequence_base parent_sequence = null,

int this_priority = -1,

bit call_pre_post = 1);

参数解释:

sequencer: 如果被启动的sequence(调用start方法的sequence)中要产生transaction, 那么必须要通过第一个参数来指明这个transaction要发给那个sequencer。

parent_sequence : 指明start 方法中,需要调用那个sequence的pre_body() task和 post_body() task。

this_priority : 指明产生transaction的优先级,sequencer在某些条件下会根据优先级来将transaction发送给sequence。

call_pre_post :如果为1, 则调用 start 方法中的 pre_do() task, mid_do() func, post_do() func。这个三个do 方法是该sequence的方法。

意义:start方法是uvm中启动sequence最本质、最根本的方法。后面说到两种方法最终还是调用start方法来启动sequence。

通过`uvm_do系列宏来启动 :

如果给uvm_do传的第一个参数是sequence是,则最终调用sequence.start(SEQR, this, PRIORITY, 0)。SEQR, PRIROTY参数来自uvm_do_on_pri_with(SEQ_QR_ITEM, SEQR, PRIORITY, CONSTRAINS)。

通过default_sequence启动:

方法是:在test_case中的build_phase中,通过uvm_config_db#(uvm_object_wrapper)::set( pointer, “tree_path.phase_name”, “default_sequence”, sequence::type_id::get());

或者 uvm_config_db#(sequence_type)::set( pointer, “tree_path.phase_name”, “default_sequence”, sequence_pointer);

最终还是调用start来启动。

参数说明:

tree_path : 指向sequencer的uvm 树路径

phase_name: 必须是task phase。run_phase + 12 个task_phase.

https://blog.csdn.net/W1Z1Q/article/details/100865789

       UVM中启动sequence的方式有两种方式,分别为手工启动和自动启动。

       第一种(手工启动)在某个component的main_phase中启动sequence,如以my_env和my_sequencer为例:

        my_env:

my_env中启动代码清单

       首先创建一个my_sequence的实例seq,之后调用start任务。start任务的参数是一个sequencer指针,如果不指明此指针,则sequence不知道将产生的transaction交给哪个sequencer。在UVM中,objection一般伴随着sequence,通常只在sequence出现的地方才提起和撤销objection。

        my_sequencer:

my_sequencer中启动代码清单

        在my_sequencer中启动与在my_env中启动相比,唯一区别是seq.start的参数变为了this。

 

        第二种(自动启动):default_sequence方式启动sequence,只需要在某个component(如my_env)的build_phase中设置如下代码即可:(最好在最顶层的class里面启动sequence,比如uvm_test类或其衍生类,即测试向量 )

        set的第一个参数和第二个参数构成了sequencer的路径。由于除了main_phase外,还存在其它任务phase,如configure_phase、reset_phase等,所以必须指定是那个phase,从而使sequencer知道在哪个phase启动这个sequence。第三个和第四个参数,以及uvm_cofig_db#( uvm_object_wrapper)中为什么是uvm_object_wrapper而不是uvm_sequence或者其它,则纯粹是由于UVM的规定,用户在使用时照做即可。

       其实,除了在my_env的build_phase中设置default_sequence外,还可以在其他地方设置,比如top_tb:

       这种情况下set函数的第一个参数和第二个参数应该改变一下。另外,还可以在其他的component里设置,比如my_agent的build_phase里:

        只需要正确地设置set的第二个参数即可。

       通常config_db都是成对出现的,有set就有相应的get。但是在这里不需要再sequencer中手工写一些get相关的代码,UVM已经做好了这些,读者无需再把时间花在这上面。

       在uvm_sequence这个基类中,有一个变量名为starting_phase,它的类型是uvm_phase,sequencer在启动default_sequence时,会自动做如下相关操作,seq是sequencer中自带一个sequence类型句柄:

        因此,可以在sequence中使用starting_phase进行提起和撤销objection,只有将sequence作为sequencer的某动态运行phase的default_sequence时,其starting_phase才不为null:

       从而,objection完全与sequence关联在一起,在其他任何地方都不必再设置objection。

       还有一种是virtual sequence启动,也是自动的,流程差不多,不多赘述。

 

  • 2
    点赞
  • 34
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值