一个比较简单的D触发器4分频verilog程序

转自 http://blog.sina.com.cn/s/blog_605fa0af0100djnz.html

将两个D触发器级联起来,前一个D触发器的输出做为下一个触发器的时钟输入,就构成了一个由两个D触发器形成的4分频程序,代码如下所示:

module D_ff_4div(clkin,reset_n,clkout);
    input clkin,reset_n;
    output clkout;
    wire in1,in2,clkin_2;
    reg out;
    reg clkout_1;
    assign in1=~clkout_1;
    assign clkin_2=clkout_1;
    assign in2=~out;
    assign clkout=out;
 always@(posedge clkin)   //由第一个D触发器构成的2分频程序;
 begin
     if(!reset_n)
     begin
     out<=0;
    clkout_1<=0;
     end
    else
    clkout_1<=in1;
 end
 always@(posedge clkin_2)   //由第二个D触发器构成的2分频程序,时钟输入为上面的输出;
 begin
     if(!reset_n)
    out<=0;
     else
     out<=in2;
 end
 endmodule

代码经过验证,欢迎高手批评指正。

  • 2
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
d触发器分频电路图是一种电路设计,用于将输入信号的频率减半。它常用于时序电路和数字电子设备中。 在d触发器分频电路图中,我们通常会使用两个d触发器和一些逻辑门。其中,一个d触发器被称为主触发器,另一个被称为辅助触发器。 电路图的主要部分是两个d触发器,每个触发器都有一个输入端(D)和一个输出端(Q)。主触发器的时钟输入(CLK)连接到输入信号的时钟源,辅助触发器的时钟输入(CLK)则连接到主触发器的输出端(Q)。 主触发器的D输入端通过与门(AND gate)连接到输入信号源。与门的另一个输入端是主触发器的时钟输入端(CLK)。当时钟脉冲到来时,主触发器将D输入的值存储到内部存储器中,并在时钟脉冲的下降沿将其输出到主触发器的输出端(Q)。 辅助触发器的D输入端也连接到主触发器的输出端(Q)。这样,在主触发器每次触发时,辅助触发器的D输入端都会接收到与主触发器输出端(Q)相同的信号。 辅助触发器的时钟输入连接到输入信号的时钟源,这样就形成了一个反馈回路。辅助触发器的输出端(Q)会输出主触发器输出信号(Q)的反相信号,从而实现了输入信号频率减半的效果。 通过这个电路图,我们可以将输入信号的频率减半,并且可以通过调整主触发器的D输入端的值来控制输出信号的相位。这在时序电路和数字电子设备的设计中非常有用。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值