【verilog教程】第5篇:状态机

43 篇文章 81 订阅 ¥99.90 ¥299.90
本文介绍了Verilog中的状态机设计,包括Mealy型和Moore型状态机的概念,以及三段式状态机的设置方法,强调了状态空间定义、状态跳转、下一个状态判断和状态动作的重要性。内容适用于FPGA/CPLD设计和Vivado工具使用。
摘要由CSDN通过智能技术生成

本文依据网络资料及工作经验整理而成,如有错误请留言。
文章为个人辛苦整理,付费内容,禁止私自转载。
文章专栏:《黑猫的FPGA知识合集》

1 概述

状态机通常由有限个状态组成,所以也叫有限状态机。
有限状态机 FSB (finite state machine)

1.1 Mealy 型状态机

电路的输出信号不仅与电路当前状态有关,还与电路的输入有关
在这里插入图片描述

1.2 Moore 型状态机

电路的输出仅仅与各触发器的状态,不受电路输入信号影响或无输入
在这里插入图片描述
状态机是许多数字系统的核心部件,是一类重要的时序逻辑电路。通常包括三个部分:一是下一个状态的逻辑电路,二是存储状态

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

黑猫学长呀

有帮助到你就来打个赏呗

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值