Chapter 11 – Running the simulation

To run thesimulation, we simply execute the provided Makefile in the GitHub repository:

$ make -f Makefile.vcs

The testbenchwill generate random inputs and then those inputs will be sent to the DUT. Themonitors will capture the data in the communication bus and make a predictionof the expected result. Finally the scoreboard will evaluate the functionalityby matching the DUT’s response with the prediction made by one of the monitors.If the DUT and the prediction match, an “OK” message will be outputted,otherwise, we will se a “Fail” message.

So, in theoutput of the simulation, we must find for the messages starting with UVM_INFObecausethe compare() method from the scoreboard is going to print amessage using the macro`uvm_info() with the result of the test.

The result ofthe simulation can be seen below:

***********      IMPORTANT RELEASE NOTES        ************

You are using a version of the UVMlibrary that has been compiled
with `UVM_NO_DEPRECATED undefined.
See http://www.eda.org/svdb/view.php?id=3313 for more details.

(Specify +UVM_NO_RELNOTES to turn offthis notice)

UVM_INFO @ 0: reporter [RNTST] Runningtest simpleadder_test…
UVM_INFO simpleadder_scoreboard.sv(49) @ 70: uvm_test_top.sa_env.sa_sb[compare] Test: OK!
UVM_INFO simpleadder_scoreboard.sv(49) @ 130: uvm_test_top.sa_env.sa_sb[compare] Test: OK!
UVM_INFO simpleadder_scoreboard.sv(49) @ 190: uvm_test_top.sa_env.sa_sb[compare] Test: OK!
UVM_INFO simpleadder_scoreboard.sv(49) @ 250: uvm_test_top.sa_env.sa_sb[compare] Test: OK!
UVM_INFO simpleadder_scoreboard.sv(49) @ 310: uvm_test_top.sa_env.sa_sb[compare] Test: OK!
UVM_INFO simpleadder_scoreboard.sv(49) @ 370: uvm_test_top.sa_env.sa_sb[compare] Test: OK!
UVM_INFO simpleadder_scoreboard.sv(49) @ 430: uvm_test_top.sa_env.sa_sb[compare] Test: OK!
UVM_INFO simpleadder_scoreboard.sv(49) @ 490: uvm_test_top.sa_env.sa_sb[compare] Test: OK!
UVM_INFO simpleadder_scoreboard.sv(49) @ 550: uvm_test_top.sa_env.sa_sb [compare]Test: OK!
UVM_INFO simpleadder_scoreboard.sv(49) @ 610: uvm_test_top.sa_env.sa_sb[compare] Test: OK!
UVM_INFO simpleadder_scoreboard.sv(49) @ 670: uvm_test_top.sa_env.sa_sb[compare] Test: OK!
UVM_INFO simpleadder_scoreboard.sv(49) @ 730: uvm_test_top.sa_env.sa_sb[compare] Test: OK!
UVM_INFO simpleadder_scoreboard.sv(49) @ 790: uvm_test_top.sa_env.sa_sb[compare] Test: OK!
UVM_INFO simpleadder_scoreboard.sv(49) @ 850: uvm_test_top.sa_env.sa_sb[compare] Test: OK!
UVM_INFO ../uvm-src/uvm-1.1d/src/base/uvm_objection.svh(1268) @ 900: reporter[TEST_DONE] ‘run’ phase is ready to proceed to the ‘extract’ phase

— UVM Report Summary —

** Report countsby severity
UVM_INFO :   16
UVM_WARNING :    0
UVM_ERROR :    0
UVM_FATAL :    0
** Report counts by id
[RNTST]     1
[TEST_DONE]     1
[compare]    14
$finish called from file “../uvm-src/uvm-1.1d/src/base/uvm_root.svh”, line 430.
$finish at simulationtime                 900
V C S   S i m u l a t i o n   R e p o r t
Time: 900 ns
CPU Time:      2.040 seconds;      Data structure size:   0.2Mb

 

This chapterconcludes this beginner’s guide. You can access all the code in this repository。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
[vivado 12-4473]检测到在运行仿真时出现错误。请进行修正。 在使用Vivado进行仿真时,可能会遇到各种错误。其中,错误代码[vivado 12-4473]指示在运行仿真过程中发现了错误,并要求我们进行修复。 对于这类错误,我们首先需要确认出错的具体原因。可通过查看Vivado生成的日志文件,寻找其中有关错误的详细信息。可能的原因包括语法错误、模块连接错误、文件路径错误或版本兼容性问题等。 一旦确定错误的原因,我们需要根据具体情况进行修正。下面是一些常见修正策略: 1. 语法错误:检查代码中的拼写错误、标点符号错误、缺失分号等。修正这些简单错误并重新运行仿真。 2. 模块连接错误:确保模块的输入输出连接正确无误。检查信号名称、宽度和方向是否一致,并确保正确的端口映射。 3. 文件路径错误:检查文件路径是否与项目文件绑定一致。Vivado可能无法找到某些文件,导致仿真错误。在项目设置中正确设置文件路径,并确保文件存在且可访问。 4. 版本兼容性:检查Vivado版本和使用的仿真工具版本是否一致。某些仿真工具可能与特定的Vivado版本不兼容,导致运行时错误。尝试使用兼容的版本或更新工具。 修正完成后,重新运行仿真,并再次检查是否出现错误。如果错误仍然存在,可以尝试与其他开发者进行讨论或咨询Xilinx技术支持来获取更多帮助。 总之,在修正[vivado 12-4473]错误时,我们需要仔细分析错误原因,并根据具体情况逐步调试和修正,以确保仿真过程顺利进行。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值