【摸鱼范式】【一】UVM入门教程【文字版】

本文是UVM验证的入门教程,主要介绍了如何使用Questa仿真软件,并详细讲解了`uvm_info`宏的用法,包括ID、MSG和VERBOSITY参数。还提到了makefile在编译和仿真过程中的应用,以及相关软件Questasim和gitbash的使用建议。提供了一篇教程的资源链接和代码下载地址。
摘要由CSDN通过智能技术生成

视频版:

https://www.bilibili.com/video/av839767912

课程前准备

建议准备仿真软件,熟悉VCS的同学可以直接使用VCS,不熟悉的同学建议直接再win平台的Questa就行了。

使用Questa前期不用打开GUI,不需要看波形,questa的图形界面还是有点卡的。

首先来看第一节课的代码

module lab1 ();
  import uvm_pkg::*;
  `include "uvm_macros.svh"

  initial begin
    `uvm_info("lab1.1","hello uvm!",UVM_NONE)
  end

endmodule

从头开始看,只要使用了UVM,就必须写开头的两行。import语句导入UVM的包,而include语句则包含了一系列宏定义。由于SV的局限性,不得不借用宏的形式实现一些功能。总之,就像JAVA八股文一样,UVM的基本书写也是一样的八股,但是这只是指代码基本框架的八股,验证背后的内容依然要与业务紧密结合。

我们遇到的第一个宏就是uvm_info,用于打印信息

uvm_info使用时需要传递三个参数,分别是ID, MSG,

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值