sv里面旗语和force

1.semaphore 旗语的使用。旗语需要在module块里声明,在initial begin end块里例化。然后是task里可以调用。旗语使用方法,在对同一个资源获取是需要两个task对这个资源拥有所有权。当T.get()执行后,资源会被task给独占,其他task得不到资源的使用权,T.put()后释放对资源的所有权。即,互斥访问。

例如: module A;

           semaphore T;

          ............

           initial begin

          T=new(1); 

           end

          endmodule

          task a;

         T.get();

         process_a;

         .........

         T.put();

         endtask

          task b;

          T.get( );

         process_b;

          T.put( );

         endtask

2.force信号源的方法可以加快仿真速率,可以优化仿真的流程。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值