UVM基础知识1:在vcs中,sv通过DPI调用C函数实例(连接简单的C子程序)

本教程介绍了如何在SystemVerilog的UVM环境中,利用DPI(Direct Programming Interface)调用C语言编写的子程序。通过创建`counter7.c`和`counter.sv`两个文件,以及配置`makefile`,最终在VCS仿真器中成功运行并展示结果。
摘要由CSDN通过智能技术生成

来源:systemverilog验证 测试平台编写指南(书籍)


1 新建counter7.c文件

    vi counter7.c

#include<svdpi.h>

void counter7(
            svBitVecVal * o,
    const   svBitVecVal * i,
    const   svBit         reset,
    const   svBit         load){
  
  static unsigned char count = 0;

  if (reset)      count = 0;
  else if (load)  count = *i;
  else            count++;
  count = count&0x7f;

  *o = count;
}

2 新建counter.sv文件

 vi counter.sv


3 制作makefile


                
  • 3
    点赞
  • 66
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值