Modelsim和Vcs+Verdi使用技巧(Linux)

Modelsim脚本自动仿真

1、创建文件 run.do,“#”为注释符号

quit -sim                                                          #退出上次仿真
.main clear                                                        #清除上次仿真所有文件以及打印信息
vlib work.veloce                                                   #创建veloce的工作环境

#-------------------------------------------------------------------------------------------------------
vlog    ./tb_XXXXXXX.v                                             #testbench文件
vlog    ./../src/*.v                                               #代码源文件
vlog    ./../ip_core/*.v                                           #IP核
#-------------------------------------------------------------------------------------------------------
#Alrera
vlog  /opt/Quartus/13.1/quartus/eda/sim_lib/altera_mf.v            #IP核仿真模型
vlog  /opt/Quartus/13.1/quartus/eda/sim_lib/220mod
  • 0
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值