VHDL学习笔记——基本语法小结

基本语法
  1. 标识符
    ①.不区分大小写;②.必须英文字母开头;③.末尾不能下划线结尾;④.不能连续用两个下划线;⑤.不能用关键字;
  2. 数字
    ①.数字前可加0,中间不能有空格;②.数字中间可以加下划线连接;③.整数、实数(必须带小数点)、科学型(872E-2=8.72)
  3. 基数数字
    ①.数制#基数#指数;②.指数为0可以不写(16#4A5# );
  4. 字符串
    ①.字符是单引号引起来的ASCII字符;②.文字字符串,双引号引起来的文字;③.数位字符串,进制基数符号“数位字符串”,位矢量长度为等值的二进制数位数。
  5. 下标名&下标段名
    ①.下标指示数组或信号的某一元素;②.下标段名指示数组或信号的某一段元素;
  6. 注释
    –开始 行尾结束

downto与to区别:
signal sg1:std_logic_vector(3 downto 0);–从左到右 3,2,1,0
signal sg2:std_logic_vector(0 to 3);–从左到右 0,1,2,3

downto 从高位到低位
to 从低位到高位
(感谢评论区老哥指出错误)

数据对象
  1. 常数
    ① 程序包中定义的常量具有最大全局性,有效范围是程序包中所有的实体;
    ② 实体中定义常量,有效范围是实体中所有的结构体;
    ③ 结构体中定义的常量,有效范围是此结构体;
    ④ 结构体内某一单元中定义的常量(如一个进程),有效范围是该单元内。
constant 常数名:数据类型:=表达式;

constant fb:bin_vector:=“01011000”;
constant de:time:=20ns;

  1. 变量
    变量时局部变量,只能在进程语句、函数语句和过程语句结构中使用,作为局部数据存储。
    ① 赋值语句右侧必须与目标变量数据类型相同;
    ② 变量不能用于硬件连线、存储元件;
    ③ 变量适用范围仅限于定义变量的进程或子程序内;
    ④ 若将变量用于进程外,必须将该值赋给一个相同类型的信号。进程之间传递数据靠信号。
variable 变量名: 数据类型 约束条件:=表达式;

变量赋值语句:

目标变量 := 表达式;

例:

aa:=12.3; --实数赋值
bb:=10.5+aa; --运算表达式赋值
cc:=aa;
dd:="10010";--位矢量赋值 d数据类型是位矢量
ee(4 to 7):=('0','1','0','1');--段赋值
ee(4 to 7):=ff(3 to 6);
ff(6):='1';--位赋值
  1. 信号
    信号是描述硬件系统的基本数据对象,类似于连接线。信号不必赋初值,初值仅在仿真中有效。
    信号的定义与使用范围是实体、结构体和程序包
signal 信号名: 数据类型 约束条件:= 初始值;

① 信号值代入采用“<=”代入符,且信号代入时可以附加延时。
② 变量赋值用“:=”,不可附加延时;
③ 信号赋初值仍用“:=”;
④ 信号是一个全局变量,可用来进行进程之间的通信。

信号与变量区别:

  1. 声明形式与位置不同:信号在结构体中声明;变量在进程中声明;
  2. 赋值符不同:信号 <= 变量 :=
  3. 赋值生效时间不同:信号,进程结束时;变量,立即生效;
  4. 进程对信号敏感,对变量不敏感;
  5. 作用域不同:信号可以是多个进程的全局信号;变量只在定义后的顺序域可见;
数据类型

VHDL数据类型为四大类:

  • 标量型(通常描述一个单值数据对象,包括实数型、整数型、枚举型、时间型)
  • 复合型(主要为数组型、记录型)
  • 存取型(为数据对象提供存取方式)
  • 文件型(提供多值存取类型)
评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值